7950c6c4ef8cd713bd8c8a06dfe9b0fe6fc92192
[calu.git] / cpu / src / alu.vhd
1 library IEEE;\r
2 use IEEE.std_logic_1164.all;\r
3 use IEEE.numeric_std.all;\r
4 \r
5 use work.common_pkg.all;\r
6 use work.alu_pkg.all;\r
7 \r
8 entity alu is\r
9         --some modules won't need all inputs\r
10         port(\r
11         --System inputs\r
12         \r
13                         clk : in std_logic;\r
14                         reset : in std_logic;\r
15         --operation inputs\r
16                         cond : in condition_t;\r
17                         op_group : in op_info_t;\r
18                         left_operand : in gp_register_t;\r
19                         right_operand : in gp_register_t;\r
20                         op_detail : in op_opt_t;\r
21                         alu_state  : in alu_result_rec;\r
22                         alu_result : out alu_result_rec;
23                         addr : out gp_register_t;
24                         data : out gp_register_t\r
25                 );\r
26                 \r
27 end alu;\r
28 \r