d23d6e23e84a8716d9beb6860a41a37901320f8d
[calu.git] / 3a_asm / transcript
1 pwd
2 # /home/stefan/processor/calu/3a_asm
3 cd ..
4 cd cpu/sim
5 # reading modelsim.ini
6 do testcore.do
7 # ** Warning: (vlib-34) Library already exists at "work".
8 # Modifying modelsim.ini
9 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
10 # -- Loading package standard
11 # -- Loading package std_logic_1164
12 # -- Loading package numeric_std
13 # -- Compiling package mem_pkg
14 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
15 # -- Loading package standard
16 # -- Loading package std_logic_1164
17 # -- Loading package numeric_std
18 # -- Compiling entity r_w_ram
19 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
20 # -- Loading package standard
21 # -- Loading package std_logic_1164
22 # -- Loading package numeric_std
23 # -- Loading package mem_pkg
24 # -- Compiling architecture behaviour of r_w_ram
25 # -- Loading entity r_w_ram
26 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
27 # -- Loading package standard
28 # -- Loading package std_logic_1164
29 # -- Loading package numeric_std
30 # -- Compiling entity r_w_ram_be
31 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
32 # -- Loading package standard
33 # -- Loading package std_logic_1164
34 # -- Loading package numeric_std
35 # -- Loading package mem_pkg
36 # -- Compiling architecture behaviour of r_w_ram_be
37 # -- Loading entity r_w_ram_be
38 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
39 # -- Loading package standard
40 # -- Loading package std_logic_1164
41 # -- Loading package numeric_std
42 # -- Loading package mem_pkg
43 # -- Compiling entity r2_w_ram
44 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
45 # -- Loading package standard
46 # -- Loading package std_logic_1164
47 # -- Loading package numeric_std
48 # -- Loading package mem_pkg
49 # -- Compiling architecture behaviour of r2_w_ram
50 # -- Loading entity r2_w_ram
51 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
52 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
53 # -- Loading package standard
54 # -- Loading package std_logic_1164
55 # -- Loading package numeric_std
56 # -- Compiling entity rom
57 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
58 # -- Loading package standard
59 # -- Loading package std_logic_1164
60 # -- Loading package numeric_std
61 # -- Loading package mem_pkg
62 # -- Compiling architecture behaviour of rom
63 # -- Loading entity rom
64 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
65 # -- Loading package standard
66 # -- Loading package std_logic_1164
67 # -- Loading package numeric_std
68 # -- Compiling package common_pkg
69 # -- Compiling package body common_pkg
70 # -- Loading package common_pkg
71 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
72 # -- Loading package standard
73 # -- Loading package std_logic_1164
74 # -- Loading package numeric_std
75 # -- Loading package common_pkg
76 # -- Compiling package extension_pkg
77 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
78 # -- Loading package standard
79 # -- Loading package std_logic_1164
80 # -- Loading package numeric_std
81 # -- Loading package common_pkg
82 # -- Loading package extension_pkg
83 # -- Compiling package core_pkg
84 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
85 # -- Loading package standard
86 # -- Loading package std_logic_1164
87 # -- Loading package numeric_std
88 # -- Loading package common_pkg
89 # -- Loading package extension_pkg
90 # -- Compiling package extension_uart_pkg
91 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
92 # -- Loading package standard
93 # -- Loading package std_logic_1164
94 # -- Loading package numeric_std
95 # -- Loading package common_pkg
96 # -- Loading package extension_pkg
97 # -- Loading package extension_uart_pkg
98 # -- Compiling entity extension_uart
99 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
100 # -- Loading package standard
101 # -- Loading package std_logic_1164
102 # -- Loading package numeric_std
103 # -- Loading package common_pkg
104 # -- Loading package extension_pkg
105 # -- Loading package core_pkg
106 # -- Loading package mem_pkg
107 # -- Loading package extension_uart_pkg
108 # -- Compiling architecture behav of extension_uart
109 # -- Loading entity extension_uart
110 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
111 # -- Loading package standard
112 # -- Loading package std_logic_1164
113 # -- Loading package numeric_std
114 # -- Loading package common_pkg
115 # -- Loading package extension_pkg
116 # -- Compiling entity extension_interrupt
117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
118 # -- Loading package standard
119 # -- Loading package std_logic_1164
120 # -- Loading package numeric_std
121 # -- Loading package common_pkg
122 # -- Loading package extension_pkg
123 # -- Compiling architecture behav of extension_interrupt
124 # -- Loading entity extension_interrupt
125 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
126 # -- Loading package standard
127 # -- Loading package std_logic_1164
128 # -- Loading package numeric_std
129 # -- Loading package common_pkg
130 # -- Loading package extension_pkg
131 # -- Compiling package extension_7seg_pkg
132 # -- Compiling package body extension_7seg_pkg
133 # -- Loading package extension_7seg_pkg
134 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
135 # -- Loading package standard
136 # -- Loading package std_logic_1164
137 # -- Loading package numeric_std
138 # -- Loading package common_pkg
139 # -- Loading package extension_pkg
140 # -- Loading package extension_7seg_pkg
141 # -- Compiling entity extension_7seg
142 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
143 # -- Loading package standard
144 # -- Loading package std_logic_1164
145 # -- Loading package numeric_std
146 # -- Loading package common_pkg
147 # -- Loading package extension_pkg
148 # -- Loading package core_pkg
149 # -- Loading package mem_pkg
150 # -- Loading package extension_7seg_pkg
151 # -- Compiling architecture behav of extension_7seg
152 # -- Loading entity extension_7seg
153 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
154 # -- Loading package standard
155 # -- Loading package std_logic_1164
156 # -- Loading package std_logic_arith
157 # -- Loading package std_logic_unsigned
158 # -- Loading package numeric_std
159 # -- Loading package common_pkg
160 # -- Loading package extension_pkg
161 # -- Loading package core_pkg
162 # -- Loading package extension_uart_pkg
163 # -- Compiling entity rs232_tx
164 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
165 # -- Loading package standard
166 # -- Loading package std_logic_1164
167 # -- Loading package numeric_std
168 # -- Loading package common_pkg
169 # -- Loading package extension_pkg
170 # -- Loading package core_pkg
171 # -- Loading package extension_uart_pkg
172 # -- Compiling architecture beh of rs232_tx
173 # -- Loading package std_logic_arith
174 # -- Loading package std_logic_unsigned
175 # -- Loading entity rs232_tx
176 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
177 # -- Loading package standard
178 # -- Loading package std_logic_1164
179 # -- Loading package std_logic_arith
180 # -- Loading package std_logic_unsigned
181 # -- Loading package numeric_std
182 # -- Loading package common_pkg
183 # -- Loading package extension_pkg
184 # -- Loading package core_pkg
185 # -- Loading package extension_uart_pkg
186 # -- Compiling entity rs232_rx
187 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
188 # -- Loading package standard
189 # -- Loading package std_logic_1164
190 # -- Loading package numeric_std
191 # -- Loading package common_pkg
192 # -- Loading package extension_pkg
193 # -- Loading package extension_uart_pkg
194 # -- Loading package core_pkg
195 # -- Compiling architecture beh of rs232_rx
196 # -- Loading package std_logic_arith
197 # -- Loading package std_logic_unsigned
198 # -- Loading entity rs232_rx
199 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
200 # -- Loading package standard
201 # -- Loading package std_logic_1164
202 # -- Loading package numeric_std
203 # -- Loading package common_pkg
204 # -- Loading package extension_pkg
205 # -- Loading package core_pkg
206 # -- Compiling entity decoder
207 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
208 # -- Loading package standard
209 # -- Loading package std_logic_1164
210 # -- Loading package numeric_std
211 # -- Loading package mem_pkg
212 # -- Loading package common_pkg
213 # -- Loading package extension_pkg
214 # -- Loading package core_pkg
215 # -- Compiling architecture behav_d of decoder
216 # -- Loading entity decoder
217 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
218 # -- Loading package standard
219 # -- Loading package std_logic_1164
220 # -- Loading package numeric_std
221 # -- Loading package common_pkg
222 # -- Loading package extension_pkg
223 # -- Loading package core_pkg
224 # -- Compiling entity fetch_stage
225 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
226 # -- Loading package standard
227 # -- Loading package std_logic_1164
228 # -- Loading package numeric_std
229 # -- Loading package common_pkg
230 # -- Loading package extension_pkg
231 # -- Loading package core_pkg
232 # -- Loading package mem_pkg
233 # -- Compiling architecture behav of fetch_stage
234 # -- Loading entity fetch_stage
235 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
236 # -- Loading package standard
237 # -- Loading package std_logic_1164
238 # -- Loading package numeric_std
239 # -- Loading package common_pkg
240 # -- Loading package extension_pkg
241 # -- Loading package core_pkg
242 # -- Compiling entity decode_stage
243 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
244 # -- Loading package standard
245 # -- Loading package std_logic_1164
246 # -- Loading package numeric_std
247 # -- Loading package mem_pkg
248 # -- Loading package common_pkg
249 # -- Loading package extension_pkg
250 # -- Loading package core_pkg
251 # -- Compiling architecture behav of decode_stage
252 # -- Loading entity decode_stage
253 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
254 # -- Loading package standard
255 # -- Loading package std_logic_1164
256 # -- Loading package numeric_std
257 # -- Loading package common_pkg
258 # -- Loading package extension_pkg
259 # -- Compiling package alu_pkg
260 # -- Compiling package body alu_pkg
261 # -- Loading package alu_pkg
262 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
263 # -- Loading package standard
264 # -- Loading package std_logic_1164
265 # -- Loading package numeric_std
266 # -- Loading package common_pkg
267 # -- Compiling package extension_pkg
268 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
269 # -- Loading package standard
270 # -- Loading package std_logic_1164
271 # -- Loading package numeric_std
272 # -- Loading package common_pkg
273 # -- Loading package extension_pkg
274 # -- Loading package alu_pkg
275 # -- Compiling entity exec_op
276 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
277 # -- Loading package standard
278 # -- Loading package std_logic_1164
279 # -- Loading package numeric_std
280 # -- Loading package common_pkg
281 # -- Loading package extension_pkg
282 # -- Loading package alu_pkg
283 # -- Compiling architecture add_op of exec_op
284 # -- Loading entity exec_op
285 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
286 # -- Loading package standard
287 # -- Loading package std_logic_1164
288 # -- Loading package numeric_std
289 # -- Loading package common_pkg
290 # -- Loading package extension_pkg
291 # -- Loading package alu_pkg
292 # -- Compiling architecture and_op of exec_op
293 # -- Loading entity exec_op
294 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
295 # -- Loading package standard
296 # -- Loading package std_logic_1164
297 # -- Loading package numeric_std
298 # -- Loading package common_pkg
299 # -- Loading package extension_pkg
300 # -- Loading package alu_pkg
301 # -- Compiling architecture or_op of exec_op
302 # -- Loading entity exec_op
303 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
304 # -- Loading package standard
305 # -- Loading package std_logic_1164
306 # -- Loading package numeric_std
307 # -- Loading package common_pkg
308 # -- Loading package extension_pkg
309 # -- Loading package alu_pkg
310 # -- Compiling architecture xor_op of exec_op
311 # -- Loading entity exec_op
312 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
313 # -- Loading package standard
314 # -- Loading package std_logic_1164
315 # -- Loading package numeric_std
316 # -- Loading package common_pkg
317 # -- Loading package extension_pkg
318 # -- Loading package alu_pkg
319 # -- Compiling architecture shift_op of exec_op
320 # -- Loading entity exec_op
321 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
322 # -- Loading package standard
323 # -- Loading package std_logic_1164
324 # -- Loading package numeric_std
325 # -- Loading package common_pkg
326 # -- Loading package extension_pkg
327 # -- Loading package alu_pkg
328 # -- Compiling entity alu
329 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
330 # -- Loading package standard
331 # -- Loading package std_logic_1164
332 # -- Loading package numeric_std
333 # -- Loading package common_pkg
334 # -- Loading package extension_pkg
335 # -- Loading package alu_pkg
336 # -- Compiling architecture behaviour of alu
337 # -- Loading entity alu
338 # -- Loading entity exec_op
339 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
340 # -- Loading package standard
341 # -- Loading package std_logic_1164
342 # -- Loading package numeric_std
343 # -- Loading package common_pkg
344 # -- Compiling package extension_pkg
345 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
346 # -- Loading package standard
347 # -- Loading package std_logic_1164
348 # -- Loading package numeric_std
349 # -- Loading package common_pkg
350 # -- Loading package extension_pkg
351 # -- Compiling entity extension_gpm
352 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
353 # -- Loading package standard
354 # -- Loading package std_logic_1164
355 # -- Loading package numeric_std
356 # -- Loading package common_pkg
357 # -- Loading package extension_pkg
358 # -- Loading package core_pkg
359 # -- Loading package mem_pkg
360 # -- Compiling architecture behav of extension_gpm
361 # -- Loading entity extension_gpm
362 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
363 # -- Loading package standard
364 # -- Loading package std_logic_1164
365 # -- Loading package numeric_std
366 # -- Loading package common_pkg
367 # -- Loading package extension_pkg
368 # -- Loading package alu_pkg
369 # -- Compiling entity execute_stage
370 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
371 # -- Loading package standard
372 # -- Loading package std_logic_1164
373 # -- Loading package numeric_std
374 # -- Loading package common_pkg
375 # -- Loading package extension_pkg
376 # -- Loading package alu_pkg
377 # -- Compiling architecture behav of execute_stage
378 # -- Loading entity execute_stage
379 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
380 # -- Loading package standard
381 # -- Loading package std_logic_1164
382 # -- Loading package numeric_std
383 # -- Loading package common_pkg
384 # -- Compiling entity writeback_stage
385 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
386 # -- Loading package standard
387 # -- Loading package std_logic_1164
388 # -- Loading package numeric_std
389 # -- Loading package common_pkg
390 # -- Loading package extension_pkg
391 # -- Loading package core_pkg
392 # -- Loading package mem_pkg
393 # -- Loading package extension_uart_pkg
394 # -- Loading package extension_7seg_pkg
395 # -- Compiling architecture behav of writeback_stage
396 # -- Loading entity writeback_stage
397 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
398 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
399 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
400 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
401 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
402 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
403 # -- Loading package standard
404 # -- Loading package std_logic_1164
405 # -- Loading package numeric_std
406 # -- Loading package common_pkg
407 # -- Loading package extension_pkg
408 # -- Loading package core_pkg
409 # -- Compiling entity pipeline_tb
410 # -- Compiling architecture behavior of pipeline_tb
411 # -- Compiling configuration pipeline_conf_beh
412 # -- Loading entity pipeline_tb
413 # -- Loading architecture behavior of pipeline_tb
414 # -- Loading entity fetch_stage
415 # -- Loading entity decode_stage
416 # -- Loading package alu_pkg
417 # -- Loading entity execute_stage
418 # -- Loading entity writeback_stage
419 # vsim -t ns work.pipeline_conf_beh 
420 # Loading std.standard
421 # Loading ieee.std_logic_1164(body)
422 # Loading ieee.numeric_std(body)
423 # Loading work.common_pkg(body)
424 # Loading work.extension_pkg
425 # Loading work.core_pkg
426 # Loading work.alu_pkg(body)
427 # Loading work.pipeline_conf_beh
428 # Loading work.pipeline_tb(behavior)
429 # Loading work.mem_pkg
430 # Loading work.fetch_stage(behav)
431 # Loading work.r_w_ram(behaviour)
432 # Loading work.rom(behaviour)
433 # Loading work.decode_stage(behav)
434 # Loading work.r2_w_ram(behaviour)
435 # Loading work.decoder(behav_d)
436 # Loading work.execute_stage(behav)
437 # Loading work.alu(behaviour)
438 # Loading work.exec_op(add_op)
439 # Loading work.exec_op(and_op)
440 # Loading work.exec_op(or_op)
441 # Loading work.exec_op(xor_op)
442 # Loading work.exec_op(shift_op)
443 # Loading work.extension_gpm(behav)
444 # Loading work.extension_uart_pkg
445 # Loading work.extension_7seg_pkg(body)
446 # Loading work.writeback_stage(behav)
447 # Loading work.r_w_ram_be(behaviour)
448 # Loading work.extension_uart(behav)
449 # Loading ieee.std_logic_arith(body)
450 # Loading ieee.std_logic_unsigned(body)
451 # Loading work.rs232_tx(beh)
452 # Loading work.rs232_rx(beh)
453 # Loading work.extension_7seg(behav)
454 # Loading work.extension_interrupt(behav)
455 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
456 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
457 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
458 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
459 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
460 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
461 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
462 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
463 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
464 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
465 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
466 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
467 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
468 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
469 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
470 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
471 # ** Fatal: (vsim-3420) Array lengths do not match. Left is 32 (31 downto 0). Right is 31 (31 downto 1).
472 #    Time: 20 ns  Iteration: 1  Process: /pipeline_tb/fetch_st/instruction_rom/line__13 File: ../src/rom_b.vhd
473 # Fatal error in Process line__13 at ../src/rom_b.vhd line 127
474
475 # HDL call sequence:
476 # Stopped at ../src/rom_b.vhd 127 Process line__13
477
478 do testcore.do
479 # ** Warning: (vlib-34) Library already exists at "work".
480 # Modifying modelsim.ini
481 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
482 # -- Loading package standard
483 # -- Loading package std_logic_1164
484 # -- Loading package numeric_std
485 # -- Compiling package mem_pkg
486 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
487 # -- Loading package standard
488 # -- Loading package std_logic_1164
489 # -- Loading package numeric_std
490 # -- Compiling entity r_w_ram
491 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
492 # -- Loading package standard
493 # -- Loading package std_logic_1164
494 # -- Loading package numeric_std
495 # -- Loading package mem_pkg
496 # -- Compiling architecture behaviour of r_w_ram
497 # -- Loading entity r_w_ram
498 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
499 # -- Loading package standard
500 # -- Loading package std_logic_1164
501 # -- Loading package numeric_std
502 # -- Compiling entity r_w_ram_be
503 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
504 # -- Loading package standard
505 # -- Loading package std_logic_1164
506 # -- Loading package numeric_std
507 # -- Loading package mem_pkg
508 # -- Compiling architecture behaviour of r_w_ram_be
509 # -- Loading entity r_w_ram_be
510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
511 # -- Loading package standard
512 # -- Loading package std_logic_1164
513 # -- Loading package numeric_std
514 # -- Loading package mem_pkg
515 # -- Compiling entity r2_w_ram
516 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
517 # -- Loading package standard
518 # -- Loading package std_logic_1164
519 # -- Loading package numeric_std
520 # -- Loading package mem_pkg
521 # -- Compiling architecture behaviour of r2_w_ram
522 # -- Loading entity r2_w_ram
523 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
524 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
525 # -- Loading package standard
526 # -- Loading package std_logic_1164
527 # -- Loading package numeric_std
528 # -- Compiling entity rom
529 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
530 # -- Loading package standard
531 # -- Loading package std_logic_1164
532 # -- Loading package numeric_std
533 # -- Loading package mem_pkg
534 # -- Compiling architecture behaviour of rom
535 # -- Loading entity rom
536 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
537 # -- Loading package standard
538 # -- Loading package std_logic_1164
539 # -- Loading package numeric_std
540 # -- Compiling package common_pkg
541 # -- Compiling package body common_pkg
542 # -- Loading package common_pkg
543 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
544 # -- Loading package standard
545 # -- Loading package std_logic_1164
546 # -- Loading package numeric_std
547 # -- Loading package common_pkg
548 # -- Compiling package extension_pkg
549 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
550 # -- Loading package standard
551 # -- Loading package std_logic_1164
552 # -- Loading package numeric_std
553 # -- Loading package common_pkg
554 # -- Loading package extension_pkg
555 # -- Compiling package core_pkg
556 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
557 # -- Loading package standard
558 # -- Loading package std_logic_1164
559 # -- Loading package numeric_std
560 # -- Loading package common_pkg
561 # -- Loading package extension_pkg
562 # -- Compiling package extension_uart_pkg
563 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
564 # -- Loading package standard
565 # -- Loading package std_logic_1164
566 # -- Loading package numeric_std
567 # -- Loading package common_pkg
568 # -- Loading package extension_pkg
569 # -- Loading package extension_uart_pkg
570 # -- Compiling entity extension_uart
571 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
572 # -- Loading package standard
573 # -- Loading package std_logic_1164
574 # -- Loading package numeric_std
575 # -- Loading package common_pkg
576 # -- Loading package extension_pkg
577 # -- Loading package core_pkg
578 # -- Loading package mem_pkg
579 # -- Loading package extension_uart_pkg
580 # -- Compiling architecture behav of extension_uart
581 # -- Loading entity extension_uart
582 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
583 # -- Loading package standard
584 # -- Loading package std_logic_1164
585 # -- Loading package numeric_std
586 # -- Loading package common_pkg
587 # -- Loading package extension_pkg
588 # -- Compiling entity extension_interrupt
589 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
590 # -- Loading package standard
591 # -- Loading package std_logic_1164
592 # -- Loading package numeric_std
593 # -- Loading package common_pkg
594 # -- Loading package extension_pkg
595 # -- Compiling architecture behav of extension_interrupt
596 # -- Loading entity extension_interrupt
597 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
598 # -- Loading package standard
599 # -- Loading package std_logic_1164
600 # -- Loading package numeric_std
601 # -- Loading package common_pkg
602 # -- Loading package extension_pkg
603 # -- Compiling package extension_7seg_pkg
604 # -- Compiling package body extension_7seg_pkg
605 # -- Loading package extension_7seg_pkg
606 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
607 # -- Loading package standard
608 # -- Loading package std_logic_1164
609 # -- Loading package numeric_std
610 # -- Loading package common_pkg
611 # -- Loading package extension_pkg
612 # -- Loading package extension_7seg_pkg
613 # -- Compiling entity extension_7seg
614 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
615 # -- Loading package standard
616 # -- Loading package std_logic_1164
617 # -- Loading package numeric_std
618 # -- Loading package common_pkg
619 # -- Loading package extension_pkg
620 # -- Loading package core_pkg
621 # -- Loading package mem_pkg
622 # -- Loading package extension_7seg_pkg
623 # -- Compiling architecture behav of extension_7seg
624 # -- Loading entity extension_7seg
625 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
626 # -- Loading package standard
627 # -- Loading package std_logic_1164
628 # -- Loading package std_logic_arith
629 # -- Loading package std_logic_unsigned
630 # -- Loading package numeric_std
631 # -- Loading package common_pkg
632 # -- Loading package extension_pkg
633 # -- Loading package core_pkg
634 # -- Loading package extension_uart_pkg
635 # -- Compiling entity rs232_tx
636 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
637 # -- Loading package standard
638 # -- Loading package std_logic_1164
639 # -- Loading package numeric_std
640 # -- Loading package common_pkg
641 # -- Loading package extension_pkg
642 # -- Loading package core_pkg
643 # -- Loading package extension_uart_pkg
644 # -- Compiling architecture beh of rs232_tx
645 # -- Loading package std_logic_arith
646 # -- Loading package std_logic_unsigned
647 # -- Loading entity rs232_tx
648 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
649 # -- Loading package standard
650 # -- Loading package std_logic_1164
651 # -- Loading package std_logic_arith
652 # -- Loading package std_logic_unsigned
653 # -- Loading package numeric_std
654 # -- Loading package common_pkg
655 # -- Loading package extension_pkg
656 # -- Loading package core_pkg
657 # -- Loading package extension_uart_pkg
658 # -- Compiling entity rs232_rx
659 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
660 # -- Loading package standard
661 # -- Loading package std_logic_1164
662 # -- Loading package numeric_std
663 # -- Loading package common_pkg
664 # -- Loading package extension_pkg
665 # -- Loading package extension_uart_pkg
666 # -- Loading package core_pkg
667 # -- Compiling architecture beh of rs232_rx
668 # -- Loading package std_logic_arith
669 # -- Loading package std_logic_unsigned
670 # -- Loading entity rs232_rx
671 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
672 # -- Loading package standard
673 # -- Loading package std_logic_1164
674 # -- Loading package numeric_std
675 # -- Loading package common_pkg
676 # -- Loading package extension_pkg
677 # -- Loading package core_pkg
678 # -- Compiling entity decoder
679 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
680 # -- Loading package standard
681 # -- Loading package std_logic_1164
682 # -- Loading package numeric_std
683 # -- Loading package mem_pkg
684 # -- Loading package common_pkg
685 # -- Loading package extension_pkg
686 # -- Loading package core_pkg
687 # -- Compiling architecture behav_d of decoder
688 # -- Loading entity decoder
689 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
690 # -- Loading package standard
691 # -- Loading package std_logic_1164
692 # -- Loading package numeric_std
693 # -- Loading package common_pkg
694 # -- Loading package extension_pkg
695 # -- Loading package core_pkg
696 # -- Compiling entity fetch_stage
697 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
698 # -- Loading package standard
699 # -- Loading package std_logic_1164
700 # -- Loading package numeric_std
701 # -- Loading package common_pkg
702 # -- Loading package extension_pkg
703 # -- Loading package core_pkg
704 # -- Loading package mem_pkg
705 # -- Compiling architecture behav of fetch_stage
706 # -- Loading entity fetch_stage
707 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
708 # -- Loading package standard
709 # -- Loading package std_logic_1164
710 # -- Loading package numeric_std
711 # -- Loading package common_pkg
712 # -- Loading package extension_pkg
713 # -- Loading package core_pkg
714 # -- Compiling entity decode_stage
715 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
716 # -- Loading package standard
717 # -- Loading package std_logic_1164
718 # -- Loading package numeric_std
719 # -- Loading package mem_pkg
720 # -- Loading package common_pkg
721 # -- Loading package extension_pkg
722 # -- Loading package core_pkg
723 # -- Compiling architecture behav of decode_stage
724 # -- Loading entity decode_stage
725 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
726 # -- Loading package standard
727 # -- Loading package std_logic_1164
728 # -- Loading package numeric_std
729 # -- Loading package common_pkg
730 # -- Loading package extension_pkg
731 # -- Compiling package alu_pkg
732 # -- Compiling package body alu_pkg
733 # -- Loading package alu_pkg
734 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
735 # -- Loading package standard
736 # -- Loading package std_logic_1164
737 # -- Loading package numeric_std
738 # -- Loading package common_pkg
739 # -- Compiling package extension_pkg
740 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
741 # -- Loading package standard
742 # -- Loading package std_logic_1164
743 # -- Loading package numeric_std
744 # -- Loading package common_pkg
745 # -- Loading package extension_pkg
746 # -- Loading package alu_pkg
747 # -- Compiling entity exec_op
748 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
749 # -- Loading package standard
750 # -- Loading package std_logic_1164
751 # -- Loading package numeric_std
752 # -- Loading package common_pkg
753 # -- Loading package extension_pkg
754 # -- Loading package alu_pkg
755 # -- Compiling architecture add_op of exec_op
756 # -- Loading entity exec_op
757 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
758 # -- Loading package standard
759 # -- Loading package std_logic_1164
760 # -- Loading package numeric_std
761 # -- Loading package common_pkg
762 # -- Loading package extension_pkg
763 # -- Loading package alu_pkg
764 # -- Compiling architecture and_op of exec_op
765 # -- Loading entity exec_op
766 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
767 # -- Loading package standard
768 # -- Loading package std_logic_1164
769 # -- Loading package numeric_std
770 # -- Loading package common_pkg
771 # -- Loading package extension_pkg
772 # -- Loading package alu_pkg
773 # -- Compiling architecture or_op of exec_op
774 # -- Loading entity exec_op
775 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
776 # -- Loading package standard
777 # -- Loading package std_logic_1164
778 # -- Loading package numeric_std
779 # -- Loading package common_pkg
780 # -- Loading package extension_pkg
781 # -- Loading package alu_pkg
782 # -- Compiling architecture xor_op of exec_op
783 # -- Loading entity exec_op
784 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
785 # -- Loading package standard
786 # -- Loading package std_logic_1164
787 # -- Loading package numeric_std
788 # -- Loading package common_pkg
789 # -- Loading package extension_pkg
790 # -- Loading package alu_pkg
791 # -- Compiling architecture shift_op of exec_op
792 # -- Loading entity exec_op
793 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
794 # -- Loading package standard
795 # -- Loading package std_logic_1164
796 # -- Loading package numeric_std
797 # -- Loading package common_pkg
798 # -- Loading package extension_pkg
799 # -- Loading package alu_pkg
800 # -- Compiling entity alu
801 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
802 # -- Loading package standard
803 # -- Loading package std_logic_1164
804 # -- Loading package numeric_std
805 # -- Loading package common_pkg
806 # -- Loading package extension_pkg
807 # -- Loading package alu_pkg
808 # -- Compiling architecture behaviour of alu
809 # -- Loading entity alu
810 # -- Loading entity exec_op
811 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
812 # -- Loading package standard
813 # -- Loading package std_logic_1164
814 # -- Loading package numeric_std
815 # -- Loading package common_pkg
816 # -- Compiling package extension_pkg
817 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
818 # -- Loading package standard
819 # -- Loading package std_logic_1164
820 # -- Loading package numeric_std
821 # -- Loading package common_pkg
822 # -- Loading package extension_pkg
823 # -- Compiling entity extension_gpm
824 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
825 # -- Loading package standard
826 # -- Loading package std_logic_1164
827 # -- Loading package numeric_std
828 # -- Loading package common_pkg
829 # -- Loading package extension_pkg
830 # -- Loading package core_pkg
831 # -- Loading package mem_pkg
832 # -- Compiling architecture behav of extension_gpm
833 # -- Loading entity extension_gpm
834 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
835 # -- Loading package standard
836 # -- Loading package std_logic_1164
837 # -- Loading package numeric_std
838 # -- Loading package common_pkg
839 # -- Loading package extension_pkg
840 # -- Loading package alu_pkg
841 # -- Compiling entity execute_stage
842 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
843 # -- Loading package standard
844 # -- Loading package std_logic_1164
845 # -- Loading package numeric_std
846 # -- Loading package common_pkg
847 # -- Loading package extension_pkg
848 # -- Loading package alu_pkg
849 # -- Compiling architecture behav of execute_stage
850 # -- Loading entity execute_stage
851 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
852 # -- Loading package standard
853 # -- Loading package std_logic_1164
854 # -- Loading package numeric_std
855 # -- Loading package common_pkg
856 # -- Compiling entity writeback_stage
857 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
858 # -- Loading package standard
859 # -- Loading package std_logic_1164
860 # -- Loading package numeric_std
861 # -- Loading package common_pkg
862 # -- Loading package extension_pkg
863 # -- Loading package core_pkg
864 # -- Loading package mem_pkg
865 # -- Loading package extension_uart_pkg
866 # -- Loading package extension_7seg_pkg
867 # -- Compiling architecture behav of writeback_stage
868 # -- Loading entity writeback_stage
869 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
870 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
871 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
872 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
873 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
874 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
875 # -- Loading package standard
876 # -- Loading package std_logic_1164
877 # -- Loading package numeric_std
878 # -- Loading package common_pkg
879 # -- Loading package extension_pkg
880 # -- Loading package core_pkg
881 # -- Compiling entity pipeline_tb
882 # -- Compiling architecture behavior of pipeline_tb
883 # -- Compiling configuration pipeline_conf_beh
884 # -- Loading entity pipeline_tb
885 # -- Loading architecture behavior of pipeline_tb
886 # -- Loading entity fetch_stage
887 # -- Loading entity decode_stage
888 # -- Loading package alu_pkg
889 # -- Loading entity execute_stage
890 # -- Loading entity writeback_stage
891 # vsim -t ns work.pipeline_conf_beh 
892 # Loading std.standard
893 # Loading ieee.std_logic_1164(body)
894 # Loading ieee.numeric_std(body)
895 # Loading work.common_pkg(body)
896 # Loading work.extension_pkg
897 # Loading work.core_pkg
898 # Loading work.alu_pkg(body)
899 # Loading work.pipeline_conf_beh
900 # Loading work.pipeline_tb(behavior)
901 # Loading work.mem_pkg
902 # Loading work.fetch_stage(behav)
903 # Loading work.r_w_ram(behaviour)
904 # Loading work.rom(behaviour)
905 # Loading work.decode_stage(behav)
906 # Loading work.r2_w_ram(behaviour)
907 # Loading work.decoder(behav_d)
908 # Loading work.execute_stage(behav)
909 # Loading work.alu(behaviour)
910 # Loading work.exec_op(add_op)
911 # Loading work.exec_op(and_op)
912 # Loading work.exec_op(or_op)
913 # Loading work.exec_op(xor_op)
914 # Loading work.exec_op(shift_op)
915 # Loading work.extension_gpm(behav)
916 # Loading work.extension_uart_pkg
917 # Loading work.extension_7seg_pkg(body)
918 # Loading work.writeback_stage(behav)
919 # Loading work.r_w_ram_be(behaviour)
920 # Loading work.extension_uart(behav)
921 # Loading ieee.std_logic_arith(body)
922 # Loading ieee.std_logic_unsigned(body)
923 # Loading work.rs232_tx(beh)
924 # Loading work.rs232_rx(beh)
925 # Loading work.extension_7seg(behav)
926 # Loading work.extension_interrupt(behav)
927 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
928 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
929 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
930 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
931 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
932 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
933 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
934 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
935 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
936 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
937 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
938 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
939 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
940 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
941 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
942 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
943 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
944 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
945 # WARNING: No extended dataflow License exists
946 run
947 do testcore.do
948 # ** Warning: (vlib-34) Library already exists at "work".
949 # Modifying modelsim.ini
950 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
951 # -- Loading package standard
952 # -- Loading package std_logic_1164
953 # -- Loading package numeric_std
954 # -- Compiling package mem_pkg
955 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
956 # -- Loading package standard
957 # -- Loading package std_logic_1164
958 # -- Loading package numeric_std
959 # -- Compiling entity r_w_ram
960 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
961 # -- Loading package standard
962 # -- Loading package std_logic_1164
963 # -- Loading package numeric_std
964 # -- Loading package mem_pkg
965 # -- Compiling architecture behaviour of r_w_ram
966 # -- Loading entity r_w_ram
967 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
968 # -- Loading package standard
969 # -- Loading package std_logic_1164
970 # -- Loading package numeric_std
971 # -- Compiling entity r_w_ram_be
972 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
973 # -- Loading package standard
974 # -- Loading package std_logic_1164
975 # -- Loading package numeric_std
976 # -- Loading package mem_pkg
977 # -- Compiling architecture behaviour of r_w_ram_be
978 # -- Loading entity r_w_ram_be
979 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
980 # -- Loading package standard
981 # -- Loading package std_logic_1164
982 # -- Loading package numeric_std
983 # -- Loading package mem_pkg
984 # -- Compiling entity r2_w_ram
985 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
986 # -- Loading package standard
987 # -- Loading package std_logic_1164
988 # -- Loading package numeric_std
989 # -- Loading package mem_pkg
990 # -- Compiling architecture behaviour of r2_w_ram
991 # -- Loading entity r2_w_ram
992 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
993 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
994 # -- Loading package standard
995 # -- Loading package std_logic_1164
996 # -- Loading package numeric_std
997 # -- Compiling entity rom
998 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
999 # -- Loading package standard
1000 # -- Loading package std_logic_1164
1001 # -- Loading package numeric_std
1002 # -- Loading package mem_pkg
1003 # -- Compiling architecture behaviour of rom
1004 # -- Loading entity rom
1005 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1006 # -- Loading package standard
1007 # -- Loading package std_logic_1164
1008 # -- Loading package numeric_std
1009 # -- Compiling package common_pkg
1010 # -- Compiling package body common_pkg
1011 # -- Loading package common_pkg
1012 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1013 # -- Loading package standard
1014 # -- Loading package std_logic_1164
1015 # -- Loading package numeric_std
1016 # -- Loading package common_pkg
1017 # -- Compiling package extension_pkg
1018 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1019 # -- Loading package standard
1020 # -- Loading package std_logic_1164
1021 # -- Loading package numeric_std
1022 # -- Loading package common_pkg
1023 # -- Loading package extension_pkg
1024 # -- Compiling package core_pkg
1025 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1026 # -- Loading package standard
1027 # -- Loading package std_logic_1164
1028 # -- Loading package numeric_std
1029 # -- Loading package common_pkg
1030 # -- Loading package extension_pkg
1031 # -- Compiling package extension_uart_pkg
1032 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1033 # -- Loading package standard
1034 # -- Loading package std_logic_1164
1035 # -- Loading package numeric_std
1036 # -- Loading package common_pkg
1037 # -- Loading package extension_pkg
1038 # -- Loading package extension_uart_pkg
1039 # -- Compiling entity extension_uart
1040 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1041 # -- Loading package standard
1042 # -- Loading package std_logic_1164
1043 # -- Loading package numeric_std
1044 # -- Loading package common_pkg
1045 # -- Loading package extension_pkg
1046 # -- Loading package core_pkg
1047 # -- Loading package mem_pkg
1048 # -- Loading package extension_uart_pkg
1049 # -- Compiling architecture behav of extension_uart
1050 # -- Loading entity extension_uart
1051 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1052 # -- Loading package standard
1053 # -- Loading package std_logic_1164
1054 # -- Loading package numeric_std
1055 # -- Loading package common_pkg
1056 # -- Loading package extension_pkg
1057 # -- Compiling entity extension_interrupt
1058 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1059 # -- Loading package standard
1060 # -- Loading package std_logic_1164
1061 # -- Loading package numeric_std
1062 # -- Loading package common_pkg
1063 # -- Loading package extension_pkg
1064 # -- Compiling architecture behav of extension_interrupt
1065 # -- Loading entity extension_interrupt
1066 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1067 # -- Loading package standard
1068 # -- Loading package std_logic_1164
1069 # -- Loading package numeric_std
1070 # -- Loading package common_pkg
1071 # -- Loading package extension_pkg
1072 # -- Compiling package extension_7seg_pkg
1073 # -- Compiling package body extension_7seg_pkg
1074 # -- Loading package extension_7seg_pkg
1075 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1076 # -- Loading package standard
1077 # -- Loading package std_logic_1164
1078 # -- Loading package numeric_std
1079 # -- Loading package common_pkg
1080 # -- Loading package extension_pkg
1081 # -- Loading package extension_7seg_pkg
1082 # -- Compiling entity extension_7seg
1083 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1084 # -- Loading package standard
1085 # -- Loading package std_logic_1164
1086 # -- Loading package numeric_std
1087 # -- Loading package common_pkg
1088 # -- Loading package extension_pkg
1089 # -- Loading package core_pkg
1090 # -- Loading package mem_pkg
1091 # -- Loading package extension_7seg_pkg
1092 # -- Compiling architecture behav of extension_7seg
1093 # -- Loading entity extension_7seg
1094 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1095 # -- Loading package standard
1096 # -- Loading package std_logic_1164
1097 # -- Loading package std_logic_arith
1098 # -- Loading package std_logic_unsigned
1099 # -- Loading package numeric_std
1100 # -- Loading package common_pkg
1101 # -- Loading package extension_pkg
1102 # -- Loading package core_pkg
1103 # -- Loading package extension_uart_pkg
1104 # -- Compiling entity rs232_tx
1105 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1106 # -- Loading package standard
1107 # -- Loading package std_logic_1164
1108 # -- Loading package numeric_std
1109 # -- Loading package common_pkg
1110 # -- Loading package extension_pkg
1111 # -- Loading package core_pkg
1112 # -- Loading package extension_uart_pkg
1113 # -- Compiling architecture beh of rs232_tx
1114 # -- Loading package std_logic_arith
1115 # -- Loading package std_logic_unsigned
1116 # -- Loading entity rs232_tx
1117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1118 # -- Loading package standard
1119 # -- Loading package std_logic_1164
1120 # -- Loading package std_logic_arith
1121 # -- Loading package std_logic_unsigned
1122 # -- Loading package numeric_std
1123 # -- Loading package common_pkg
1124 # -- Loading package extension_pkg
1125 # -- Loading package core_pkg
1126 # -- Loading package extension_uart_pkg
1127 # -- Compiling entity rs232_rx
1128 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1129 # -- Loading package standard
1130 # -- Loading package std_logic_1164
1131 # -- Loading package numeric_std
1132 # -- Loading package common_pkg
1133 # -- Loading package extension_pkg
1134 # -- Loading package extension_uart_pkg
1135 # -- Loading package core_pkg
1136 # -- Compiling architecture beh of rs232_rx
1137 # -- Loading package std_logic_arith
1138 # -- Loading package std_logic_unsigned
1139 # -- Loading entity rs232_rx
1140 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1141 # -- Loading package standard
1142 # -- Loading package std_logic_1164
1143 # -- Loading package numeric_std
1144 # -- Loading package common_pkg
1145 # -- Loading package extension_pkg
1146 # -- Loading package core_pkg
1147 # -- Compiling entity decoder
1148 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1149 # -- Loading package standard
1150 # -- Loading package std_logic_1164
1151 # -- Loading package numeric_std
1152 # -- Loading package mem_pkg
1153 # -- Loading package common_pkg
1154 # -- Loading package extension_pkg
1155 # -- Loading package core_pkg
1156 # -- Compiling architecture behav_d of decoder
1157 # -- Loading entity decoder
1158 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1159 # -- Loading package standard
1160 # -- Loading package std_logic_1164
1161 # -- Loading package numeric_std
1162 # -- Loading package common_pkg
1163 # -- Loading package extension_pkg
1164 # -- Loading package core_pkg
1165 # -- Compiling entity fetch_stage
1166 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1167 # -- Loading package standard
1168 # -- Loading package std_logic_1164
1169 # -- Loading package numeric_std
1170 # -- Loading package common_pkg
1171 # -- Loading package extension_pkg
1172 # -- Loading package core_pkg
1173 # -- Loading package mem_pkg
1174 # -- Compiling architecture behav of fetch_stage
1175 # -- Loading entity fetch_stage
1176 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1177 # -- Loading package standard
1178 # -- Loading package std_logic_1164
1179 # -- Loading package numeric_std
1180 # -- Loading package common_pkg
1181 # -- Loading package extension_pkg
1182 # -- Loading package core_pkg
1183 # -- Compiling entity decode_stage
1184 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1185 # -- Loading package standard
1186 # -- Loading package std_logic_1164
1187 # -- Loading package numeric_std
1188 # -- Loading package mem_pkg
1189 # -- Loading package common_pkg
1190 # -- Loading package extension_pkg
1191 # -- Loading package core_pkg
1192 # -- Compiling architecture behav of decode_stage
1193 # -- Loading entity decode_stage
1194 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1195 # -- Loading package standard
1196 # -- Loading package std_logic_1164
1197 # -- Loading package numeric_std
1198 # -- Loading package common_pkg
1199 # -- Loading package extension_pkg
1200 # -- Compiling package alu_pkg
1201 # -- Compiling package body alu_pkg
1202 # -- Loading package alu_pkg
1203 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1204 # -- Loading package standard
1205 # -- Loading package std_logic_1164
1206 # -- Loading package numeric_std
1207 # -- Loading package common_pkg
1208 # -- Compiling package extension_pkg
1209 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1210 # -- Loading package standard
1211 # -- Loading package std_logic_1164
1212 # -- Loading package numeric_std
1213 # -- Loading package common_pkg
1214 # -- Loading package extension_pkg
1215 # -- Loading package alu_pkg
1216 # -- Compiling entity exec_op
1217 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1218 # -- Loading package standard
1219 # -- Loading package std_logic_1164
1220 # -- Loading package numeric_std
1221 # -- Loading package common_pkg
1222 # -- Loading package extension_pkg
1223 # -- Loading package alu_pkg
1224 # -- Compiling architecture add_op of exec_op
1225 # -- Loading entity exec_op
1226 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1227 # -- Loading package standard
1228 # -- Loading package std_logic_1164
1229 # -- Loading package numeric_std
1230 # -- Loading package common_pkg
1231 # -- Loading package extension_pkg
1232 # -- Loading package alu_pkg
1233 # -- Compiling architecture and_op of exec_op
1234 # -- Loading entity exec_op
1235 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1236 # -- Loading package standard
1237 # -- Loading package std_logic_1164
1238 # -- Loading package numeric_std
1239 # -- Loading package common_pkg
1240 # -- Loading package extension_pkg
1241 # -- Loading package alu_pkg
1242 # -- Compiling architecture or_op of exec_op
1243 # -- Loading entity exec_op
1244 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1245 # -- Loading package standard
1246 # -- Loading package std_logic_1164
1247 # -- Loading package numeric_std
1248 # -- Loading package common_pkg
1249 # -- Loading package extension_pkg
1250 # -- Loading package alu_pkg
1251 # -- Compiling architecture xor_op of exec_op
1252 # -- Loading entity exec_op
1253 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1254 # -- Loading package standard
1255 # -- Loading package std_logic_1164
1256 # -- Loading package numeric_std
1257 # -- Loading package common_pkg
1258 # -- Loading package extension_pkg
1259 # -- Loading package alu_pkg
1260 # -- Compiling architecture shift_op of exec_op
1261 # -- Loading entity exec_op
1262 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1263 # -- Loading package standard
1264 # -- Loading package std_logic_1164
1265 # -- Loading package numeric_std
1266 # -- Loading package common_pkg
1267 # -- Loading package extension_pkg
1268 # -- Loading package alu_pkg
1269 # -- Compiling entity alu
1270 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1271 # -- Loading package standard
1272 # -- Loading package std_logic_1164
1273 # -- Loading package numeric_std
1274 # -- Loading package common_pkg
1275 # -- Loading package extension_pkg
1276 # -- Loading package alu_pkg
1277 # -- Compiling architecture behaviour of alu
1278 # -- Loading entity alu
1279 # -- Loading entity exec_op
1280 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1281 # -- Loading package standard
1282 # -- Loading package std_logic_1164
1283 # -- Loading package numeric_std
1284 # -- Loading package common_pkg
1285 # -- Compiling package extension_pkg
1286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1287 # -- Loading package standard
1288 # -- Loading package std_logic_1164
1289 # -- Loading package numeric_std
1290 # -- Loading package common_pkg
1291 # -- Loading package extension_pkg
1292 # -- Compiling entity extension_gpm
1293 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1294 # -- Loading package standard
1295 # -- Loading package std_logic_1164
1296 # -- Loading package numeric_std
1297 # -- Loading package common_pkg
1298 # -- Loading package extension_pkg
1299 # -- Loading package core_pkg
1300 # -- Loading package mem_pkg
1301 # -- Compiling architecture behav of extension_gpm
1302 # -- Loading entity extension_gpm
1303 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1304 # -- Loading package standard
1305 # -- Loading package std_logic_1164
1306 # -- Loading package numeric_std
1307 # -- Loading package common_pkg
1308 # -- Loading package extension_pkg
1309 # -- Loading package alu_pkg
1310 # -- Compiling entity execute_stage
1311 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1312 # -- Loading package standard
1313 # -- Loading package std_logic_1164
1314 # -- Loading package numeric_std
1315 # -- Loading package common_pkg
1316 # -- Loading package extension_pkg
1317 # -- Loading package alu_pkg
1318 # -- Compiling architecture behav of execute_stage
1319 # -- Loading entity execute_stage
1320 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1321 # -- Loading package standard
1322 # -- Loading package std_logic_1164
1323 # -- Loading package numeric_std
1324 # -- Loading package common_pkg
1325 # -- Compiling entity writeback_stage
1326 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1327 # -- Loading package standard
1328 # -- Loading package std_logic_1164
1329 # -- Loading package numeric_std
1330 # -- Loading package common_pkg
1331 # -- Loading package extension_pkg
1332 # -- Loading package core_pkg
1333 # -- Loading package mem_pkg
1334 # -- Loading package extension_uart_pkg
1335 # -- Loading package extension_7seg_pkg
1336 # -- Compiling architecture behav of writeback_stage
1337 # -- Loading entity writeback_stage
1338 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
1339 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
1340 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
1341 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
1342 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
1343 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1344 # -- Loading package standard
1345 # -- Loading package std_logic_1164
1346 # -- Loading package numeric_std
1347 # -- Loading package common_pkg
1348 # -- Loading package extension_pkg
1349 # -- Loading package core_pkg
1350 # -- Compiling entity pipeline_tb
1351 # -- Compiling architecture behavior of pipeline_tb
1352 # -- Compiling configuration pipeline_conf_beh
1353 # -- Loading entity pipeline_tb
1354 # -- Loading architecture behavior of pipeline_tb
1355 # -- Loading entity fetch_stage
1356 # -- Loading entity decode_stage
1357 # -- Loading package alu_pkg
1358 # -- Loading entity execute_stage
1359 # -- Loading entity writeback_stage
1360 # vsim -t ns work.pipeline_conf_beh 
1361 # Loading std.standard
1362 # Loading ieee.std_logic_1164(body)
1363 # Loading ieee.numeric_std(body)
1364 # Loading work.common_pkg(body)
1365 # Loading work.extension_pkg
1366 # Loading work.core_pkg
1367 # Loading work.alu_pkg(body)
1368 # Loading work.pipeline_conf_beh
1369 # Loading work.pipeline_tb(behavior)
1370 # Loading work.mem_pkg
1371 # Loading work.fetch_stage(behav)
1372 # Loading work.r_w_ram(behaviour)
1373 # Loading work.rom(behaviour)
1374 # Loading work.decode_stage(behav)
1375 # Loading work.r2_w_ram(behaviour)
1376 # Loading work.decoder(behav_d)
1377 # Loading work.execute_stage(behav)
1378 # Loading work.alu(behaviour)
1379 # Loading work.exec_op(add_op)
1380 # Loading work.exec_op(and_op)
1381 # Loading work.exec_op(or_op)
1382 # Loading work.exec_op(xor_op)
1383 # Loading work.exec_op(shift_op)
1384 # Loading work.extension_gpm(behav)
1385 # Loading work.extension_uart_pkg
1386 # Loading work.extension_7seg_pkg(body)
1387 # Loading work.writeback_stage(behav)
1388 # Loading work.r_w_ram_be(behaviour)
1389 # Loading work.extension_uart(behav)
1390 # Loading ieee.std_logic_arith(body)
1391 # Loading ieee.std_logic_unsigned(body)
1392 # Loading work.rs232_tx(beh)
1393 # Loading work.rs232_rx(beh)
1394 # Loading work.extension_7seg(behav)
1395 # Loading work.extension_interrupt(behav)
1396 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1397 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1398 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1399 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1400 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1401 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1402 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1403 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1404 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1405 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1406 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1407 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1408 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1409 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1410 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1411 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1412 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1413 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1414 run
1415 restart
1416 run
1417 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1418 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1419 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1420 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1421 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1422 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1423 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1424 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1425 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1426 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1427 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1428 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1429 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1430 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1431 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1432 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1433 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1434 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1435 run
1436 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
1437 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1438 # -- Loading package standard
1439 # -- Loading package std_logic_1164
1440 # -- Loading package numeric_std
1441 # -- Loading package common_pkg
1442 # -- Loading package extension_pkg
1443 # -- Loading package core_pkg
1444 # -- Loading package mem_pkg
1445 # -- Compiling architecture behav of fetch_stage
1446 # -- Loading entity fetch_stage
1447 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(106): Illegal target for signal assignment.
1448 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(106): (vcom-1136) Unknown identifier "instr_rd_addr_nxt".
1449 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(121): VHDL Compiler exiting
1450 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
1451 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1452 # -- Loading package standard
1453 # -- Loading package std_logic_1164
1454 # -- Loading package numeric_std
1455 # -- Loading package common_pkg
1456 # -- Loading package extension_pkg
1457 # -- Loading package core_pkg
1458 # -- Loading package mem_pkg
1459 # -- Compiling architecture behav of fetch_stage
1460 # -- Loading entity fetch_stage
1461 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
1462 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1463 # -- Loading package standard
1464 # -- Loading package std_logic_1164
1465 # -- Loading package numeric_std
1466 # -- Loading package common_pkg
1467 # -- Loading package extension_pkg
1468 # -- Loading package core_pkg
1469 # -- Loading package mem_pkg
1470 # -- Compiling architecture behav of fetch_stage
1471 # -- Loading entity fetch_stage
1472 restart
1473 # Loading work.fetch_stage(behav)
1474 run
1475 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1476 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1477 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1478 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1479 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1480 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1481 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1482 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1483 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1484 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1485 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1486 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1487 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1488 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1489 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1490 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1491 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1492 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1493 run
1494 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
1495 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1496 # -- Loading package standard
1497 # -- Loading package std_logic_1164
1498 # -- Loading package numeric_std
1499 # -- Loading package common_pkg
1500 # -- Loading package extension_pkg
1501 # -- Loading package core_pkg
1502 # -- Loading package mem_pkg
1503 # -- Compiling architecture behav of fetch_stage
1504 # -- Loading entity fetch_stage
1505 restart
1506 # Loading work.fetch_stage(behav)
1507 run
1508 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1509 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1510 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1511 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1512 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1513 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1514 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1515 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1516 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1517 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1518 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1519 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1520 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1521 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1522 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1523 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1524 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1525 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1526 run
1527 do testcore.do
1528 # ** Warning: (vlib-34) Library already exists at "work".
1529 # Modifying modelsim.ini
1530 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1531 # -- Loading package standard
1532 # -- Loading package std_logic_1164
1533 # -- Loading package numeric_std
1534 # -- Compiling package mem_pkg
1535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1536 # -- Loading package standard
1537 # -- Loading package std_logic_1164
1538 # -- Loading package numeric_std
1539 # -- Compiling entity r_w_ram
1540 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1541 # -- Loading package standard
1542 # -- Loading package std_logic_1164
1543 # -- Loading package numeric_std
1544 # -- Loading package mem_pkg
1545 # -- Compiling architecture behaviour of r_w_ram
1546 # -- Loading entity r_w_ram
1547 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1548 # -- Loading package standard
1549 # -- Loading package std_logic_1164
1550 # -- Loading package numeric_std
1551 # -- Compiling entity r_w_ram_be
1552 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1553 # -- Loading package standard
1554 # -- Loading package std_logic_1164
1555 # -- Loading package numeric_std
1556 # -- Loading package mem_pkg
1557 # -- Compiling architecture behaviour of r_w_ram_be
1558 # -- Loading entity r_w_ram_be
1559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1560 # -- Loading package standard
1561 # -- Loading package std_logic_1164
1562 # -- Loading package numeric_std
1563 # -- Loading package mem_pkg
1564 # -- Compiling entity r2_w_ram
1565 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1566 # -- Loading package standard
1567 # -- Loading package std_logic_1164
1568 # -- Loading package numeric_std
1569 # -- Loading package mem_pkg
1570 # -- Compiling architecture behaviour of r2_w_ram
1571 # -- Loading entity r2_w_ram
1572 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1573 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1574 # -- Loading package standard
1575 # -- Loading package std_logic_1164
1576 # -- Loading package numeric_std
1577 # -- Compiling entity rom
1578 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1579 # -- Loading package standard
1580 # -- Loading package std_logic_1164
1581 # -- Loading package numeric_std
1582 # -- Loading package mem_pkg
1583 # -- Compiling architecture behaviour of rom
1584 # -- Loading entity rom
1585 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1586 # -- Loading package standard
1587 # -- Loading package std_logic_1164
1588 # -- Loading package numeric_std
1589 # -- Compiling package common_pkg
1590 # -- Compiling package body common_pkg
1591 # -- Loading package common_pkg
1592 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1593 # -- Loading package standard
1594 # -- Loading package std_logic_1164
1595 # -- Loading package numeric_std
1596 # -- Loading package common_pkg
1597 # -- Compiling package extension_pkg
1598 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1599 # -- Loading package standard
1600 # -- Loading package std_logic_1164
1601 # -- Loading package numeric_std
1602 # -- Loading package common_pkg
1603 # -- Loading package extension_pkg
1604 # -- Compiling package core_pkg
1605 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1606 # -- Loading package standard
1607 # -- Loading package std_logic_1164
1608 # -- Loading package numeric_std
1609 # -- Loading package common_pkg
1610 # -- Loading package extension_pkg
1611 # -- Compiling package extension_uart_pkg
1612 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1613 # -- Loading package standard
1614 # -- Loading package std_logic_1164
1615 # -- Loading package numeric_std
1616 # -- Loading package common_pkg
1617 # -- Loading package extension_pkg
1618 # -- Loading package extension_uart_pkg
1619 # -- Compiling entity extension_uart
1620 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1621 # -- Loading package standard
1622 # -- Loading package std_logic_1164
1623 # -- Loading package numeric_std
1624 # -- Loading package common_pkg
1625 # -- Loading package extension_pkg
1626 # -- Loading package core_pkg
1627 # -- Loading package mem_pkg
1628 # -- Loading package extension_uart_pkg
1629 # -- Compiling architecture behav of extension_uart
1630 # -- Loading entity extension_uart
1631 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1632 # -- Loading package standard
1633 # -- Loading package std_logic_1164
1634 # -- Loading package numeric_std
1635 # -- Loading package common_pkg
1636 # -- Loading package extension_pkg
1637 # -- Compiling entity extension_interrupt
1638 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1639 # -- Loading package standard
1640 # -- Loading package std_logic_1164
1641 # -- Loading package numeric_std
1642 # -- Loading package common_pkg
1643 # -- Loading package extension_pkg
1644 # -- Compiling architecture behav of extension_interrupt
1645 # -- Loading entity extension_interrupt
1646 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1647 # -- Loading package standard
1648 # -- Loading package std_logic_1164
1649 # -- Loading package numeric_std
1650 # -- Loading package common_pkg
1651 # -- Loading package extension_pkg
1652 # -- Compiling package extension_7seg_pkg
1653 # -- Compiling package body extension_7seg_pkg
1654 # -- Loading package extension_7seg_pkg
1655 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1656 # -- Loading package standard
1657 # -- Loading package std_logic_1164
1658 # -- Loading package numeric_std
1659 # -- Loading package common_pkg
1660 # -- Loading package extension_pkg
1661 # -- Loading package extension_7seg_pkg
1662 # -- Compiling entity extension_7seg
1663 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1664 # -- Loading package standard
1665 # -- Loading package std_logic_1164
1666 # -- Loading package numeric_std
1667 # -- Loading package common_pkg
1668 # -- Loading package extension_pkg
1669 # -- Loading package core_pkg
1670 # -- Loading package mem_pkg
1671 # -- Loading package extension_7seg_pkg
1672 # -- Compiling architecture behav of extension_7seg
1673 # -- Loading entity extension_7seg
1674 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1675 # -- Loading package standard
1676 # -- Loading package std_logic_1164
1677 # -- Loading package std_logic_arith
1678 # -- Loading package std_logic_unsigned
1679 # -- Loading package numeric_std
1680 # -- Loading package common_pkg
1681 # -- Loading package extension_pkg
1682 # -- Loading package core_pkg
1683 # -- Loading package extension_uart_pkg
1684 # -- Compiling entity rs232_tx
1685 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1686 # -- Loading package standard
1687 # -- Loading package std_logic_1164
1688 # -- Loading package numeric_std
1689 # -- Loading package common_pkg
1690 # -- Loading package extension_pkg
1691 # -- Loading package core_pkg
1692 # -- Loading package extension_uart_pkg
1693 # -- Compiling architecture beh of rs232_tx
1694 # -- Loading package std_logic_arith
1695 # -- Loading package std_logic_unsigned
1696 # -- Loading entity rs232_tx
1697 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1698 # -- Loading package standard
1699 # -- Loading package std_logic_1164
1700 # -- Loading package std_logic_arith
1701 # -- Loading package std_logic_unsigned
1702 # -- Loading package numeric_std
1703 # -- Loading package common_pkg
1704 # -- Loading package extension_pkg
1705 # -- Loading package core_pkg
1706 # -- Loading package extension_uart_pkg
1707 # -- Compiling entity rs232_rx
1708 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1709 # -- Loading package standard
1710 # -- Loading package std_logic_1164
1711 # -- Loading package numeric_std
1712 # -- Loading package common_pkg
1713 # -- Loading package extension_pkg
1714 # -- Loading package extension_uart_pkg
1715 # -- Loading package core_pkg
1716 # -- Compiling architecture beh of rs232_rx
1717 # -- Loading package std_logic_arith
1718 # -- Loading package std_logic_unsigned
1719 # -- Loading entity rs232_rx
1720 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1721 # -- Loading package standard
1722 # -- Loading package std_logic_1164
1723 # -- Loading package numeric_std
1724 # -- Loading package common_pkg
1725 # -- Loading package extension_pkg
1726 # -- Loading package core_pkg
1727 # -- Compiling entity decoder
1728 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1729 # -- Loading package standard
1730 # -- Loading package std_logic_1164
1731 # -- Loading package numeric_std
1732 # -- Loading package mem_pkg
1733 # -- Loading package common_pkg
1734 # -- Loading package extension_pkg
1735 # -- Loading package core_pkg
1736 # -- Compiling architecture behav_d of decoder
1737 # -- Loading entity decoder
1738 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1739 # -- Loading package standard
1740 # -- Loading package std_logic_1164
1741 # -- Loading package numeric_std
1742 # -- Loading package common_pkg
1743 # -- Loading package extension_pkg
1744 # -- Loading package core_pkg
1745 # -- Compiling entity fetch_stage
1746 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1747 # -- Loading package standard
1748 # -- Loading package std_logic_1164
1749 # -- Loading package numeric_std
1750 # -- Loading package common_pkg
1751 # -- Loading package extension_pkg
1752 # -- Loading package core_pkg
1753 # -- Loading package mem_pkg
1754 # -- Compiling architecture behav of fetch_stage
1755 # -- Loading entity fetch_stage
1756 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1757 # -- Loading package standard
1758 # -- Loading package std_logic_1164
1759 # -- Loading package numeric_std
1760 # -- Loading package common_pkg
1761 # -- Loading package extension_pkg
1762 # -- Loading package core_pkg
1763 # -- Compiling entity decode_stage
1764 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1765 # -- Loading package standard
1766 # -- Loading package std_logic_1164
1767 # -- Loading package numeric_std
1768 # -- Loading package mem_pkg
1769 # -- Loading package common_pkg
1770 # -- Loading package extension_pkg
1771 # -- Loading package core_pkg
1772 # -- Compiling architecture behav of decode_stage
1773 # -- Loading entity decode_stage
1774 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1775 # -- Loading package standard
1776 # -- Loading package std_logic_1164
1777 # -- Loading package numeric_std
1778 # -- Loading package common_pkg
1779 # -- Loading package extension_pkg
1780 # -- Compiling package alu_pkg
1781 # -- Compiling package body alu_pkg
1782 # -- Loading package alu_pkg
1783 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1784 # -- Loading package standard
1785 # -- Loading package std_logic_1164
1786 # -- Loading package numeric_std
1787 # -- Loading package common_pkg
1788 # -- Compiling package extension_pkg
1789 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1790 # -- Loading package standard
1791 # -- Loading package std_logic_1164
1792 # -- Loading package numeric_std
1793 # -- Loading package common_pkg
1794 # -- Loading package extension_pkg
1795 # -- Loading package alu_pkg
1796 # -- Compiling entity exec_op
1797 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1798 # -- Loading package standard
1799 # -- Loading package std_logic_1164
1800 # -- Loading package numeric_std
1801 # -- Loading package common_pkg
1802 # -- Loading package extension_pkg
1803 # -- Loading package alu_pkg
1804 # -- Compiling architecture add_op of exec_op
1805 # -- Loading entity exec_op
1806 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1807 # -- Loading package standard
1808 # -- Loading package std_logic_1164
1809 # -- Loading package numeric_std
1810 # -- Loading package common_pkg
1811 # -- Loading package extension_pkg
1812 # -- Loading package alu_pkg
1813 # -- Compiling architecture and_op of exec_op
1814 # -- Loading entity exec_op
1815 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1816 # -- Loading package standard
1817 # -- Loading package std_logic_1164
1818 # -- Loading package numeric_std
1819 # -- Loading package common_pkg
1820 # -- Loading package extension_pkg
1821 # -- Loading package alu_pkg
1822 # -- Compiling architecture or_op of exec_op
1823 # -- Loading entity exec_op
1824 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1825 # -- Loading package standard
1826 # -- Loading package std_logic_1164
1827 # -- Loading package numeric_std
1828 # -- Loading package common_pkg
1829 # -- Loading package extension_pkg
1830 # -- Loading package alu_pkg
1831 # -- Compiling architecture xor_op of exec_op
1832 # -- Loading entity exec_op
1833 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1834 # -- Loading package standard
1835 # -- Loading package std_logic_1164
1836 # -- Loading package numeric_std
1837 # -- Loading package common_pkg
1838 # -- Loading package extension_pkg
1839 # -- Loading package alu_pkg
1840 # -- Compiling architecture shift_op of exec_op
1841 # -- Loading entity exec_op
1842 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1843 # -- Loading package standard
1844 # -- Loading package std_logic_1164
1845 # -- Loading package numeric_std
1846 # -- Loading package common_pkg
1847 # -- Loading package extension_pkg
1848 # -- Loading package alu_pkg
1849 # -- Compiling entity alu
1850 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1851 # -- Loading package standard
1852 # -- Loading package std_logic_1164
1853 # -- Loading package numeric_std
1854 # -- Loading package common_pkg
1855 # -- Loading package extension_pkg
1856 # -- Loading package alu_pkg
1857 # -- Compiling architecture behaviour of alu
1858 # -- Loading entity alu
1859 # -- Loading entity exec_op
1860 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1861 # -- Loading package standard
1862 # -- Loading package std_logic_1164
1863 # -- Loading package numeric_std
1864 # -- Loading package common_pkg
1865 # -- Compiling package extension_pkg
1866 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1867 # -- Loading package standard
1868 # -- Loading package std_logic_1164
1869 # -- Loading package numeric_std
1870 # -- Loading package common_pkg
1871 # -- Loading package extension_pkg
1872 # -- Compiling entity extension_gpm
1873 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1874 # -- Loading package standard
1875 # -- Loading package std_logic_1164
1876 # -- Loading package numeric_std
1877 # -- Loading package common_pkg
1878 # -- Loading package extension_pkg
1879 # -- Loading package core_pkg
1880 # -- Loading package mem_pkg
1881 # -- Compiling architecture behav of extension_gpm
1882 # -- Loading entity extension_gpm
1883 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1884 # -- Loading package standard
1885 # -- Loading package std_logic_1164
1886 # -- Loading package numeric_std
1887 # -- Loading package common_pkg
1888 # -- Loading package extension_pkg
1889 # -- Loading package alu_pkg
1890 # -- Compiling entity execute_stage
1891 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1892 # -- Loading package standard
1893 # -- Loading package std_logic_1164
1894 # -- Loading package numeric_std
1895 # -- Loading package common_pkg
1896 # -- Loading package extension_pkg
1897 # -- Loading package alu_pkg
1898 # -- Compiling architecture behav of execute_stage
1899 # -- Loading entity execute_stage
1900 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1901 # -- Loading package standard
1902 # -- Loading package std_logic_1164
1903 # -- Loading package numeric_std
1904 # -- Loading package common_pkg
1905 # -- Compiling entity writeback_stage
1906 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1907 # -- Loading package standard
1908 # -- Loading package std_logic_1164
1909 # -- Loading package numeric_std
1910 # -- Loading package common_pkg
1911 # -- Loading package extension_pkg
1912 # -- Loading package core_pkg
1913 # -- Loading package mem_pkg
1914 # -- Loading package extension_uart_pkg
1915 # -- Loading package extension_7seg_pkg
1916 # -- Compiling architecture behav of writeback_stage
1917 # -- Loading entity writeback_stage
1918 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
1919 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
1920 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
1921 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
1922 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
1923 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1924 # -- Loading package standard
1925 # -- Loading package std_logic_1164
1926 # -- Loading package numeric_std
1927 # -- Loading package common_pkg
1928 # -- Loading package extension_pkg
1929 # -- Loading package core_pkg
1930 # -- Compiling entity pipeline_tb
1931 # -- Compiling architecture behavior of pipeline_tb
1932 # -- Compiling configuration pipeline_conf_beh
1933 # -- Loading entity pipeline_tb
1934 # -- Loading architecture behavior of pipeline_tb
1935 # -- Loading entity fetch_stage
1936 # -- Loading entity decode_stage
1937 # -- Loading package alu_pkg
1938 # -- Loading entity execute_stage
1939 # -- Loading entity writeback_stage
1940 # vsim -t ns work.pipeline_conf_beh 
1941 # Loading std.standard
1942 # Loading ieee.std_logic_1164(body)
1943 # Loading ieee.numeric_std(body)
1944 # Loading work.common_pkg(body)
1945 # Loading work.extension_pkg
1946 # Loading work.core_pkg
1947 # Loading work.alu_pkg(body)
1948 # Loading work.pipeline_conf_beh
1949 # Loading work.pipeline_tb(behavior)
1950 # Loading work.mem_pkg
1951 # Loading work.fetch_stage(behav)
1952 # Loading work.r_w_ram(behaviour)
1953 # Loading work.rom(behaviour)
1954 # Loading work.decode_stage(behav)
1955 # Loading work.r2_w_ram(behaviour)
1956 # Loading work.decoder(behav_d)
1957 # Loading work.execute_stage(behav)
1958 # Loading work.alu(behaviour)
1959 # Loading work.exec_op(add_op)
1960 # Loading work.exec_op(and_op)
1961 # Loading work.exec_op(or_op)
1962 # Loading work.exec_op(xor_op)
1963 # Loading work.exec_op(shift_op)
1964 # Loading work.extension_gpm(behav)
1965 # Loading work.extension_uart_pkg
1966 # Loading work.extension_7seg_pkg(body)
1967 # Loading work.writeback_stage(behav)
1968 # Loading work.r_w_ram_be(behaviour)
1969 # Loading work.extension_uart(behav)
1970 # Loading ieee.std_logic_arith(body)
1971 # Loading ieee.std_logic_unsigned(body)
1972 # Loading work.rs232_tx(beh)
1973 # Loading work.rs232_rx(beh)
1974 # Loading work.extension_7seg(behav)
1975 # Loading work.extension_interrupt(behav)
1976 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1977 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1978 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1979 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1980 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1981 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1982 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1983 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1984 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1985 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1986 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1987 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1988 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1989 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1990 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1991 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1992 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1993 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1994 run
1995 do testcore.do
1996 # ** Warning: (vlib-34) Library already exists at "work".
1997 # Modifying modelsim.ini
1998 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1999 # -- Loading package standard
2000 # -- Loading package std_logic_1164
2001 # -- Loading package numeric_std
2002 # -- Compiling package mem_pkg
2003 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2004 # -- Loading package standard
2005 # -- Loading package std_logic_1164
2006 # -- Loading package numeric_std
2007 # -- Compiling entity r_w_ram
2008 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2009 # -- Loading package standard
2010 # -- Loading package std_logic_1164
2011 # -- Loading package numeric_std
2012 # -- Loading package mem_pkg
2013 # -- Compiling architecture behaviour of r_w_ram
2014 # -- Loading entity r_w_ram
2015 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2016 # -- Loading package standard
2017 # -- Loading package std_logic_1164
2018 # -- Loading package numeric_std
2019 # -- Compiling entity r_w_ram_be
2020 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2021 # -- Loading package standard
2022 # -- Loading package std_logic_1164
2023 # -- Loading package numeric_std
2024 # -- Loading package mem_pkg
2025 # -- Compiling architecture behaviour of r_w_ram_be
2026 # -- Loading entity r_w_ram_be
2027 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2028 # -- Loading package standard
2029 # -- Loading package std_logic_1164
2030 # -- Loading package numeric_std
2031 # -- Loading package mem_pkg
2032 # -- Compiling entity r2_w_ram
2033 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2034 # -- Loading package standard
2035 # -- Loading package std_logic_1164
2036 # -- Loading package numeric_std
2037 # -- Loading package mem_pkg
2038 # -- Compiling architecture behaviour of r2_w_ram
2039 # -- Loading entity r2_w_ram
2040 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2041 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2042 # -- Loading package standard
2043 # -- Loading package std_logic_1164
2044 # -- Loading package numeric_std
2045 # -- Compiling entity rom
2046 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2047 # -- Loading package standard
2048 # -- Loading package std_logic_1164
2049 # -- Loading package numeric_std
2050 # -- Loading package mem_pkg
2051 # -- Compiling architecture behaviour of rom
2052 # -- Loading entity rom
2053 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2054 # -- Loading package standard
2055 # -- Loading package std_logic_1164
2056 # -- Loading package numeric_std
2057 # -- Compiling package common_pkg
2058 # -- Compiling package body common_pkg
2059 # -- Loading package common_pkg
2060 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2061 # -- Loading package standard
2062 # -- Loading package std_logic_1164
2063 # -- Loading package numeric_std
2064 # -- Loading package common_pkg
2065 # -- Compiling package extension_pkg
2066 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2067 # -- Loading package standard
2068 # -- Loading package std_logic_1164
2069 # -- Loading package numeric_std
2070 # -- Loading package common_pkg
2071 # -- Loading package extension_pkg
2072 # -- Compiling package core_pkg
2073 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2074 # -- Loading package standard
2075 # -- Loading package std_logic_1164
2076 # -- Loading package numeric_std
2077 # -- Loading package common_pkg
2078 # -- Loading package extension_pkg
2079 # -- Compiling package extension_uart_pkg
2080 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2081 # -- Loading package standard
2082 # -- Loading package std_logic_1164
2083 # -- Loading package numeric_std
2084 # -- Loading package common_pkg
2085 # -- Loading package extension_pkg
2086 # -- Loading package extension_uart_pkg
2087 # -- Compiling entity extension_uart
2088 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2089 # -- Loading package standard
2090 # -- Loading package std_logic_1164
2091 # -- Loading package numeric_std
2092 # -- Loading package common_pkg
2093 # -- Loading package extension_pkg
2094 # -- Loading package core_pkg
2095 # -- Loading package mem_pkg
2096 # -- Loading package extension_uart_pkg
2097 # -- Compiling architecture behav of extension_uart
2098 # -- Loading entity extension_uart
2099 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2100 # -- Loading package standard
2101 # -- Loading package std_logic_1164
2102 # -- Loading package numeric_std
2103 # -- Loading package common_pkg
2104 # -- Loading package extension_pkg
2105 # -- Compiling entity extension_interrupt
2106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2107 # -- Loading package standard
2108 # -- Loading package std_logic_1164
2109 # -- Loading package numeric_std
2110 # -- Loading package common_pkg
2111 # -- Loading package extension_pkg
2112 # -- Compiling architecture behav of extension_interrupt
2113 # -- Loading entity extension_interrupt
2114 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2115 # -- Loading package standard
2116 # -- Loading package std_logic_1164
2117 # -- Loading package numeric_std
2118 # -- Loading package common_pkg
2119 # -- Loading package extension_pkg
2120 # -- Compiling package extension_7seg_pkg
2121 # -- Compiling package body extension_7seg_pkg
2122 # -- Loading package extension_7seg_pkg
2123 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2124 # -- Loading package standard
2125 # -- Loading package std_logic_1164
2126 # -- Loading package numeric_std
2127 # -- Loading package common_pkg
2128 # -- Loading package extension_pkg
2129 # -- Loading package extension_7seg_pkg
2130 # -- Compiling entity extension_7seg
2131 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2132 # -- Loading package standard
2133 # -- Loading package std_logic_1164
2134 # -- Loading package numeric_std
2135 # -- Loading package common_pkg
2136 # -- Loading package extension_pkg
2137 # -- Loading package core_pkg
2138 # -- Loading package mem_pkg
2139 # -- Loading package extension_7seg_pkg
2140 # -- Compiling architecture behav of extension_7seg
2141 # -- Loading entity extension_7seg
2142 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2143 # -- Loading package standard
2144 # -- Loading package std_logic_1164
2145 # -- Loading package std_logic_arith
2146 # -- Loading package std_logic_unsigned
2147 # -- Loading package numeric_std
2148 # -- Loading package common_pkg
2149 # -- Loading package extension_pkg
2150 # -- Loading package core_pkg
2151 # -- Loading package extension_uart_pkg
2152 # -- Compiling entity rs232_tx
2153 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2154 # -- Loading package standard
2155 # -- Loading package std_logic_1164
2156 # -- Loading package numeric_std
2157 # -- Loading package common_pkg
2158 # -- Loading package extension_pkg
2159 # -- Loading package core_pkg
2160 # -- Loading package extension_uart_pkg
2161 # -- Compiling architecture beh of rs232_tx
2162 # -- Loading package std_logic_arith
2163 # -- Loading package std_logic_unsigned
2164 # -- Loading entity rs232_tx
2165 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2166 # -- Loading package standard
2167 # -- Loading package std_logic_1164
2168 # -- Loading package std_logic_arith
2169 # -- Loading package std_logic_unsigned
2170 # -- Loading package numeric_std
2171 # -- Loading package common_pkg
2172 # -- Loading package extension_pkg
2173 # -- Loading package core_pkg
2174 # -- Loading package extension_uart_pkg
2175 # -- Compiling entity rs232_rx
2176 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2177 # -- Loading package standard
2178 # -- Loading package std_logic_1164
2179 # -- Loading package numeric_std
2180 # -- Loading package common_pkg
2181 # -- Loading package extension_pkg
2182 # -- Loading package extension_uart_pkg
2183 # -- Loading package core_pkg
2184 # -- Compiling architecture beh of rs232_rx
2185 # -- Loading package std_logic_arith
2186 # -- Loading package std_logic_unsigned
2187 # -- Loading entity rs232_rx
2188 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2189 # -- Loading package standard
2190 # -- Loading package std_logic_1164
2191 # -- Loading package numeric_std
2192 # -- Loading package common_pkg
2193 # -- Loading package extension_pkg
2194 # -- Loading package core_pkg
2195 # -- Compiling entity decoder
2196 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2197 # -- Loading package standard
2198 # -- Loading package std_logic_1164
2199 # -- Loading package numeric_std
2200 # -- Loading package mem_pkg
2201 # -- Loading package common_pkg
2202 # -- Loading package extension_pkg
2203 # -- Loading package core_pkg
2204 # -- Compiling architecture behav_d of decoder
2205 # -- Loading entity decoder
2206 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2207 # -- Loading package standard
2208 # -- Loading package std_logic_1164
2209 # -- Loading package numeric_std
2210 # -- Loading package common_pkg
2211 # -- Loading package extension_pkg
2212 # -- Loading package core_pkg
2213 # -- Compiling entity fetch_stage
2214 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2215 # -- Loading package standard
2216 # -- Loading package std_logic_1164
2217 # -- Loading package numeric_std
2218 # -- Loading package common_pkg
2219 # -- Loading package extension_pkg
2220 # -- Loading package core_pkg
2221 # -- Loading package mem_pkg
2222 # -- Compiling architecture behav of fetch_stage
2223 # -- Loading entity fetch_stage
2224 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2225 # -- Loading package standard
2226 # -- Loading package std_logic_1164
2227 # -- Loading package numeric_std
2228 # -- Loading package common_pkg
2229 # -- Loading package extension_pkg
2230 # -- Loading package core_pkg
2231 # -- Compiling entity decode_stage
2232 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2233 # -- Loading package standard
2234 # -- Loading package std_logic_1164
2235 # -- Loading package numeric_std
2236 # -- Loading package mem_pkg
2237 # -- Loading package common_pkg
2238 # -- Loading package extension_pkg
2239 # -- Loading package core_pkg
2240 # -- Compiling architecture behav of decode_stage
2241 # -- Loading entity decode_stage
2242 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2243 # -- Loading package standard
2244 # -- Loading package std_logic_1164
2245 # -- Loading package numeric_std
2246 # -- Loading package common_pkg
2247 # -- Loading package extension_pkg
2248 # -- Compiling package alu_pkg
2249 # -- Compiling package body alu_pkg
2250 # -- Loading package alu_pkg
2251 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2252 # -- Loading package standard
2253 # -- Loading package std_logic_1164
2254 # -- Loading package numeric_std
2255 # -- Loading package common_pkg
2256 # -- Compiling package extension_pkg
2257 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2258 # -- Loading package standard
2259 # -- Loading package std_logic_1164
2260 # -- Loading package numeric_std
2261 # -- Loading package common_pkg
2262 # -- Loading package extension_pkg
2263 # -- Loading package alu_pkg
2264 # -- Compiling entity exec_op
2265 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2266 # -- Loading package standard
2267 # -- Loading package std_logic_1164
2268 # -- Loading package numeric_std
2269 # -- Loading package common_pkg
2270 # -- Loading package extension_pkg
2271 # -- Loading package alu_pkg
2272 # -- Compiling architecture add_op of exec_op
2273 # -- Loading entity exec_op
2274 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2275 # -- Loading package standard
2276 # -- Loading package std_logic_1164
2277 # -- Loading package numeric_std
2278 # -- Loading package common_pkg
2279 # -- Loading package extension_pkg
2280 # -- Loading package alu_pkg
2281 # -- Compiling architecture and_op of exec_op
2282 # -- Loading entity exec_op
2283 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2284 # -- Loading package standard
2285 # -- Loading package std_logic_1164
2286 # -- Loading package numeric_std
2287 # -- Loading package common_pkg
2288 # -- Loading package extension_pkg
2289 # -- Loading package alu_pkg
2290 # -- Compiling architecture or_op of exec_op
2291 # -- Loading entity exec_op
2292 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2293 # -- Loading package standard
2294 # -- Loading package std_logic_1164
2295 # -- Loading package numeric_std
2296 # -- Loading package common_pkg
2297 # -- Loading package extension_pkg
2298 # -- Loading package alu_pkg
2299 # -- Compiling architecture xor_op of exec_op
2300 # -- Loading entity exec_op
2301 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2302 # -- Loading package standard
2303 # -- Loading package std_logic_1164
2304 # -- Loading package numeric_std
2305 # -- Loading package common_pkg
2306 # -- Loading package extension_pkg
2307 # -- Loading package alu_pkg
2308 # -- Compiling architecture shift_op of exec_op
2309 # -- Loading entity exec_op
2310 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2311 # -- Loading package standard
2312 # -- Loading package std_logic_1164
2313 # -- Loading package numeric_std
2314 # -- Loading package common_pkg
2315 # -- Loading package extension_pkg
2316 # -- Loading package alu_pkg
2317 # -- Compiling entity alu
2318 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2319 # -- Loading package standard
2320 # -- Loading package std_logic_1164
2321 # -- Loading package numeric_std
2322 # -- Loading package common_pkg
2323 # -- Loading package extension_pkg
2324 # -- Loading package alu_pkg
2325 # -- Compiling architecture behaviour of alu
2326 # -- Loading entity alu
2327 # -- Loading entity exec_op
2328 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2329 # -- Loading package standard
2330 # -- Loading package std_logic_1164
2331 # -- Loading package numeric_std
2332 # -- Loading package common_pkg
2333 # -- Compiling package extension_pkg
2334 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2335 # -- Loading package standard
2336 # -- Loading package std_logic_1164
2337 # -- Loading package numeric_std
2338 # -- Loading package common_pkg
2339 # -- Loading package extension_pkg
2340 # -- Compiling entity extension_gpm
2341 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2342 # -- Loading package standard
2343 # -- Loading package std_logic_1164
2344 # -- Loading package numeric_std
2345 # -- Loading package common_pkg
2346 # -- Loading package extension_pkg
2347 # -- Loading package core_pkg
2348 # -- Loading package mem_pkg
2349 # -- Compiling architecture behav of extension_gpm
2350 # -- Loading entity extension_gpm
2351 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2352 # -- Loading package standard
2353 # -- Loading package std_logic_1164
2354 # -- Loading package numeric_std
2355 # -- Loading package common_pkg
2356 # -- Loading package extension_pkg
2357 # -- Loading package alu_pkg
2358 # -- Compiling entity execute_stage
2359 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2360 # -- Loading package standard
2361 # -- Loading package std_logic_1164
2362 # -- Loading package numeric_std
2363 # -- Loading package common_pkg
2364 # -- Loading package extension_pkg
2365 # -- Loading package alu_pkg
2366 # -- Compiling architecture behav of execute_stage
2367 # -- Loading entity execute_stage
2368 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2369 # -- Loading package standard
2370 # -- Loading package std_logic_1164
2371 # -- Loading package numeric_std
2372 # -- Loading package common_pkg
2373 # -- Compiling entity writeback_stage
2374 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2375 # -- Loading package standard
2376 # -- Loading package std_logic_1164
2377 # -- Loading package numeric_std
2378 # -- Loading package common_pkg
2379 # -- Loading package extension_pkg
2380 # -- Loading package core_pkg
2381 # -- Loading package mem_pkg
2382 # -- Loading package extension_uart_pkg
2383 # -- Loading package extension_7seg_pkg
2384 # -- Compiling architecture behav of writeback_stage
2385 # -- Loading entity writeback_stage
2386 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
2387 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
2388 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
2389 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
2390 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
2391 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2392 # -- Loading package standard
2393 # -- Loading package std_logic_1164
2394 # -- Loading package numeric_std
2395 # -- Loading package common_pkg
2396 # -- Loading package extension_pkg
2397 # -- Loading package core_pkg
2398 # -- Compiling entity pipeline_tb
2399 # -- Compiling architecture behavior of pipeline_tb
2400 # -- Compiling configuration pipeline_conf_beh
2401 # -- Loading entity pipeline_tb
2402 # -- Loading architecture behavior of pipeline_tb
2403 # -- Loading entity fetch_stage
2404 # -- Loading entity decode_stage
2405 # -- Loading package alu_pkg
2406 # -- Loading entity execute_stage
2407 # -- Loading entity writeback_stage
2408 # vsim -t ns work.pipeline_conf_beh 
2409 # Loading std.standard
2410 # Loading ieee.std_logic_1164(body)
2411 # Loading ieee.numeric_std(body)
2412 # Loading work.common_pkg(body)
2413 # Loading work.extension_pkg
2414 # Loading work.core_pkg
2415 # Loading work.alu_pkg(body)
2416 # Loading work.pipeline_conf_beh
2417 # Loading work.pipeline_tb(behavior)
2418 # Loading work.mem_pkg
2419 # Loading work.fetch_stage(behav)
2420 # Loading work.r_w_ram(behaviour)
2421 # Loading work.rom(behaviour)
2422 # Loading work.decode_stage(behav)
2423 # Loading work.r2_w_ram(behaviour)
2424 # Loading work.decoder(behav_d)
2425 # Loading work.execute_stage(behav)
2426 # Loading work.alu(behaviour)
2427 # Loading work.exec_op(add_op)
2428 # Loading work.exec_op(and_op)
2429 # Loading work.exec_op(or_op)
2430 # Loading work.exec_op(xor_op)
2431 # Loading work.exec_op(shift_op)
2432 # Loading work.extension_gpm(behav)
2433 # Loading work.extension_uart_pkg
2434 # Loading work.extension_7seg_pkg(body)
2435 # Loading work.writeback_stage(behav)
2436 # Loading work.r_w_ram_be(behaviour)
2437 # Loading work.extension_uart(behav)
2438 # Loading ieee.std_logic_arith(body)
2439 # Loading ieee.std_logic_unsigned(body)
2440 # Loading work.rs232_tx(beh)
2441 # Loading work.rs232_rx(beh)
2442 # Loading work.extension_7seg(behav)
2443 # Loading work.extension_interrupt(behav)
2444 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2445 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2446 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2447 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2448 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2449 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2450 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2451 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2452 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2453 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2454 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2455 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2456 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2457 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2458 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2459 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2460 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2461 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2462 run
2463 run
2464 do testcore.do
2465 # ** Warning: (vlib-34) Library already exists at "work".
2466 # Modifying modelsim.ini
2467 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2468 # -- Loading package standard
2469 # -- Loading package std_logic_1164
2470 # -- Loading package numeric_std
2471 # -- Compiling package mem_pkg
2472 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2473 # -- Loading package standard
2474 # -- Loading package std_logic_1164
2475 # -- Loading package numeric_std
2476 # -- Compiling entity r_w_ram
2477 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2478 # -- Loading package standard
2479 # -- Loading package std_logic_1164
2480 # -- Loading package numeric_std
2481 # -- Loading package mem_pkg
2482 # -- Compiling architecture behaviour of r_w_ram
2483 # -- Loading entity r_w_ram
2484 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2485 # -- Loading package standard
2486 # -- Loading package std_logic_1164
2487 # -- Loading package numeric_std
2488 # -- Compiling entity r_w_ram_be
2489 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2490 # -- Loading package standard
2491 # -- Loading package std_logic_1164
2492 # -- Loading package numeric_std
2493 # -- Loading package mem_pkg
2494 # -- Compiling architecture behaviour of r_w_ram_be
2495 # -- Loading entity r_w_ram_be
2496 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2497 # -- Loading package standard
2498 # -- Loading package std_logic_1164
2499 # -- Loading package numeric_std
2500 # -- Loading package mem_pkg
2501 # -- Compiling entity r2_w_ram
2502 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2503 # -- Loading package standard
2504 # -- Loading package std_logic_1164
2505 # -- Loading package numeric_std
2506 # -- Loading package mem_pkg
2507 # -- Compiling architecture behaviour of r2_w_ram
2508 # -- Loading entity r2_w_ram
2509 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2511 # -- Loading package standard
2512 # -- Loading package std_logic_1164
2513 # -- Loading package numeric_std
2514 # -- Compiling entity rom
2515 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2516 # -- Loading package standard
2517 # -- Loading package std_logic_1164
2518 # -- Loading package numeric_std
2519 # -- Loading package mem_pkg
2520 # -- Compiling architecture behaviour of rom
2521 # -- Loading entity rom
2522 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2523 # -- Loading package standard
2524 # -- Loading package std_logic_1164
2525 # -- Loading package numeric_std
2526 # -- Compiling package common_pkg
2527 # -- Compiling package body common_pkg
2528 # -- Loading package common_pkg
2529 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2530 # -- Loading package standard
2531 # -- Loading package std_logic_1164
2532 # -- Loading package numeric_std
2533 # -- Loading package common_pkg
2534 # -- Compiling package extension_pkg
2535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2536 # -- Loading package standard
2537 # -- Loading package std_logic_1164
2538 # -- Loading package numeric_std
2539 # -- Loading package common_pkg
2540 # -- Loading package extension_pkg
2541 # -- Compiling package core_pkg
2542 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2543 # -- Loading package standard
2544 # -- Loading package std_logic_1164
2545 # -- Loading package numeric_std
2546 # -- Loading package common_pkg
2547 # -- Loading package extension_pkg
2548 # -- Compiling package extension_uart_pkg
2549 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2550 # -- Loading package standard
2551 # -- Loading package std_logic_1164
2552 # -- Loading package numeric_std
2553 # -- Loading package common_pkg
2554 # -- Loading package extension_pkg
2555 # -- Loading package extension_uart_pkg
2556 # -- Compiling entity extension_uart
2557 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2558 # -- Loading package standard
2559 # -- Loading package std_logic_1164
2560 # -- Loading package numeric_std
2561 # -- Loading package common_pkg
2562 # -- Loading package extension_pkg
2563 # -- Loading package core_pkg
2564 # -- Loading package mem_pkg
2565 # -- Loading package extension_uart_pkg
2566 # -- Compiling architecture behav of extension_uart
2567 # -- Loading entity extension_uart
2568 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2569 # -- Loading package standard
2570 # -- Loading package std_logic_1164
2571 # -- Loading package numeric_std
2572 # -- Loading package common_pkg
2573 # -- Loading package extension_pkg
2574 # -- Compiling entity extension_interrupt
2575 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2576 # -- Loading package standard
2577 # -- Loading package std_logic_1164
2578 # -- Loading package numeric_std
2579 # -- Loading package common_pkg
2580 # -- Loading package extension_pkg
2581 # -- Compiling architecture behav of extension_interrupt
2582 # -- Loading entity extension_interrupt
2583 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2584 # -- Loading package standard
2585 # -- Loading package std_logic_1164
2586 # -- Loading package numeric_std
2587 # -- Loading package common_pkg
2588 # -- Loading package extension_pkg
2589 # -- Compiling package extension_7seg_pkg
2590 # -- Compiling package body extension_7seg_pkg
2591 # -- Loading package extension_7seg_pkg
2592 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2593 # -- Loading package standard
2594 # -- Loading package std_logic_1164
2595 # -- Loading package numeric_std
2596 # -- Loading package common_pkg
2597 # -- Loading package extension_pkg
2598 # -- Loading package extension_7seg_pkg
2599 # -- Compiling entity extension_7seg
2600 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2601 # -- Loading package standard
2602 # -- Loading package std_logic_1164
2603 # -- Loading package numeric_std
2604 # -- Loading package common_pkg
2605 # -- Loading package extension_pkg
2606 # -- Loading package core_pkg
2607 # -- Loading package mem_pkg
2608 # -- Loading package extension_7seg_pkg
2609 # -- Compiling architecture behav of extension_7seg
2610 # -- Loading entity extension_7seg
2611 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2612 # -- Loading package standard
2613 # -- Loading package std_logic_1164
2614 # -- Loading package std_logic_arith
2615 # -- Loading package std_logic_unsigned
2616 # -- Loading package numeric_std
2617 # -- Loading package common_pkg
2618 # -- Loading package extension_pkg
2619 # -- Loading package core_pkg
2620 # -- Loading package extension_uart_pkg
2621 # -- Compiling entity rs232_tx
2622 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2623 # -- Loading package standard
2624 # -- Loading package std_logic_1164
2625 # -- Loading package numeric_std
2626 # -- Loading package common_pkg
2627 # -- Loading package extension_pkg
2628 # -- Loading package core_pkg
2629 # -- Loading package extension_uart_pkg
2630 # -- Compiling architecture beh of rs232_tx
2631 # -- Loading package std_logic_arith
2632 # -- Loading package std_logic_unsigned
2633 # -- Loading entity rs232_tx
2634 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2635 # -- Loading package standard
2636 # -- Loading package std_logic_1164
2637 # -- Loading package std_logic_arith
2638 # -- Loading package std_logic_unsigned
2639 # -- Loading package numeric_std
2640 # -- Loading package common_pkg
2641 # -- Loading package extension_pkg
2642 # -- Loading package core_pkg
2643 # -- Loading package extension_uart_pkg
2644 # -- Compiling entity rs232_rx
2645 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2646 # -- Loading package standard
2647 # -- Loading package std_logic_1164
2648 # -- Loading package numeric_std
2649 # -- Loading package common_pkg
2650 # -- Loading package extension_pkg
2651 # -- Loading package extension_uart_pkg
2652 # -- Loading package core_pkg
2653 # -- Compiling architecture beh of rs232_rx
2654 # -- Loading package std_logic_arith
2655 # -- Loading package std_logic_unsigned
2656 # -- Loading entity rs232_rx
2657 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2658 # -- Loading package standard
2659 # -- Loading package std_logic_1164
2660 # -- Loading package numeric_std
2661 # -- Loading package common_pkg
2662 # -- Loading package extension_pkg
2663 # -- Loading package core_pkg
2664 # -- Compiling entity decoder
2665 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2666 # -- Loading package standard
2667 # -- Loading package std_logic_1164
2668 # -- Loading package numeric_std
2669 # -- Loading package mem_pkg
2670 # -- Loading package common_pkg
2671 # -- Loading package extension_pkg
2672 # -- Loading package core_pkg
2673 # -- Compiling architecture behav_d of decoder
2674 # -- Loading entity decoder
2675 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2676 # -- Loading package standard
2677 # -- Loading package std_logic_1164
2678 # -- Loading package numeric_std
2679 # -- Loading package common_pkg
2680 # -- Loading package extension_pkg
2681 # -- Loading package core_pkg
2682 # -- Compiling entity fetch_stage
2683 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2684 # -- Loading package standard
2685 # -- Loading package std_logic_1164
2686 # -- Loading package numeric_std
2687 # -- Loading package common_pkg
2688 # -- Loading package extension_pkg
2689 # -- Loading package core_pkg
2690 # -- Loading package mem_pkg
2691 # -- Compiling architecture behav of fetch_stage
2692 # -- Loading entity fetch_stage
2693 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2694 # -- Loading package standard
2695 # -- Loading package std_logic_1164
2696 # -- Loading package numeric_std
2697 # -- Loading package common_pkg
2698 # -- Loading package extension_pkg
2699 # -- Loading package core_pkg
2700 # -- Compiling entity decode_stage
2701 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2702 # -- Loading package standard
2703 # -- Loading package std_logic_1164
2704 # -- Loading package numeric_std
2705 # -- Loading package mem_pkg
2706 # -- Loading package common_pkg
2707 # -- Loading package extension_pkg
2708 # -- Loading package core_pkg
2709 # -- Compiling architecture behav of decode_stage
2710 # -- Loading entity decode_stage
2711 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2712 # -- Loading package standard
2713 # -- Loading package std_logic_1164
2714 # -- Loading package numeric_std
2715 # -- Loading package common_pkg
2716 # -- Loading package extension_pkg
2717 # -- Compiling package alu_pkg
2718 # -- Compiling package body alu_pkg
2719 # -- Loading package alu_pkg
2720 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2721 # -- Loading package standard
2722 # -- Loading package std_logic_1164
2723 # -- Loading package numeric_std
2724 # -- Loading package common_pkg
2725 # -- Compiling package extension_pkg
2726 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2727 # -- Loading package standard
2728 # -- Loading package std_logic_1164
2729 # -- Loading package numeric_std
2730 # -- Loading package common_pkg
2731 # -- Loading package extension_pkg
2732 # -- Loading package alu_pkg
2733 # -- Compiling entity exec_op
2734 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2735 # -- Loading package standard
2736 # -- Loading package std_logic_1164
2737 # -- Loading package numeric_std
2738 # -- Loading package common_pkg
2739 # -- Loading package extension_pkg
2740 # -- Loading package alu_pkg
2741 # -- Compiling architecture add_op of exec_op
2742 # -- Loading entity exec_op
2743 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2744 # -- Loading package standard
2745 # -- Loading package std_logic_1164
2746 # -- Loading package numeric_std
2747 # -- Loading package common_pkg
2748 # -- Loading package extension_pkg
2749 # -- Loading package alu_pkg
2750 # -- Compiling architecture and_op of exec_op
2751 # -- Loading entity exec_op
2752 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2753 # -- Loading package standard
2754 # -- Loading package std_logic_1164
2755 # -- Loading package numeric_std
2756 # -- Loading package common_pkg
2757 # -- Loading package extension_pkg
2758 # -- Loading package alu_pkg
2759 # -- Compiling architecture or_op of exec_op
2760 # -- Loading entity exec_op
2761 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2762 # -- Loading package standard
2763 # -- Loading package std_logic_1164
2764 # -- Loading package numeric_std
2765 # -- Loading package common_pkg
2766 # -- Loading package extension_pkg
2767 # -- Loading package alu_pkg
2768 # -- Compiling architecture xor_op of exec_op
2769 # -- Loading entity exec_op
2770 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2771 # -- Loading package standard
2772 # -- Loading package std_logic_1164
2773 # -- Loading package numeric_std
2774 # -- Loading package common_pkg
2775 # -- Loading package extension_pkg
2776 # -- Loading package alu_pkg
2777 # -- Compiling architecture shift_op of exec_op
2778 # -- Loading entity exec_op
2779 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2780 # -- Loading package standard
2781 # -- Loading package std_logic_1164
2782 # -- Loading package numeric_std
2783 # -- Loading package common_pkg
2784 # -- Loading package extension_pkg
2785 # -- Loading package alu_pkg
2786 # -- Compiling entity alu
2787 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2788 # -- Loading package standard
2789 # -- Loading package std_logic_1164
2790 # -- Loading package numeric_std
2791 # -- Loading package common_pkg
2792 # -- Loading package extension_pkg
2793 # -- Loading package alu_pkg
2794 # -- Compiling architecture behaviour of alu
2795 # -- Loading entity alu
2796 # -- Loading entity exec_op
2797 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2798 # -- Loading package standard
2799 # -- Loading package std_logic_1164
2800 # -- Loading package numeric_std
2801 # -- Loading package common_pkg
2802 # -- Compiling package extension_pkg
2803 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2804 # -- Loading package standard
2805 # -- Loading package std_logic_1164
2806 # -- Loading package numeric_std
2807 # -- Loading package common_pkg
2808 # -- Loading package extension_pkg
2809 # -- Compiling entity extension_gpm
2810 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2811 # -- Loading package standard
2812 # -- Loading package std_logic_1164
2813 # -- Loading package numeric_std
2814 # -- Loading package common_pkg
2815 # -- Loading package extension_pkg
2816 # -- Loading package core_pkg
2817 # -- Loading package mem_pkg
2818 # -- Compiling architecture behav of extension_gpm
2819 # -- Loading entity extension_gpm
2820 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2821 # -- Loading package standard
2822 # -- Loading package std_logic_1164
2823 # -- Loading package numeric_std
2824 # -- Loading package common_pkg
2825 # -- Loading package extension_pkg
2826 # -- Loading package alu_pkg
2827 # -- Compiling entity execute_stage
2828 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2829 # -- Loading package standard
2830 # -- Loading package std_logic_1164
2831 # -- Loading package numeric_std
2832 # -- Loading package common_pkg
2833 # -- Loading package extension_pkg
2834 # -- Loading package alu_pkg
2835 # -- Compiling architecture behav of execute_stage
2836 # -- Loading entity execute_stage
2837 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2838 # -- Loading package standard
2839 # -- Loading package std_logic_1164
2840 # -- Loading package numeric_std
2841 # -- Loading package common_pkg
2842 # -- Compiling entity writeback_stage
2843 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2844 # -- Loading package standard
2845 # -- Loading package std_logic_1164
2846 # -- Loading package numeric_std
2847 # -- Loading package common_pkg
2848 # -- Loading package extension_pkg
2849 # -- Loading package core_pkg
2850 # -- Loading package mem_pkg
2851 # -- Loading package extension_uart_pkg
2852 # -- Loading package extension_7seg_pkg
2853 # -- Compiling architecture behav of writeback_stage
2854 # -- Loading entity writeback_stage
2855 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
2856 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
2857 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
2858 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
2859 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
2860 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2861 # -- Loading package standard
2862 # -- Loading package std_logic_1164
2863 # -- Loading package numeric_std
2864 # -- Loading package common_pkg
2865 # -- Loading package extension_pkg
2866 # -- Loading package core_pkg
2867 # -- Compiling entity pipeline_tb
2868 # -- Compiling architecture behavior of pipeline_tb
2869 # -- Compiling configuration pipeline_conf_beh
2870 # -- Loading entity pipeline_tb
2871 # -- Loading architecture behavior of pipeline_tb
2872 # -- Loading entity fetch_stage
2873 # -- Loading entity decode_stage
2874 # -- Loading package alu_pkg
2875 # -- Loading entity execute_stage
2876 # -- Loading entity writeback_stage
2877 # vsim -t ns work.pipeline_conf_beh 
2878 # Loading std.standard
2879 # Loading ieee.std_logic_1164(body)
2880 # Loading ieee.numeric_std(body)
2881 # Loading work.common_pkg(body)
2882 # Loading work.extension_pkg
2883 # Loading work.core_pkg
2884 # Loading work.alu_pkg(body)
2885 # Loading work.pipeline_conf_beh
2886 # Loading work.pipeline_tb(behavior)
2887 # Loading work.mem_pkg
2888 # Loading work.fetch_stage(behav)
2889 # Loading work.r_w_ram(behaviour)
2890 # Loading work.rom(behaviour)
2891 # Loading work.decode_stage(behav)
2892 # Loading work.r2_w_ram(behaviour)
2893 # Loading work.decoder(behav_d)
2894 # Loading work.execute_stage(behav)
2895 # Loading work.alu(behaviour)
2896 # Loading work.exec_op(add_op)
2897 # Loading work.exec_op(and_op)
2898 # Loading work.exec_op(or_op)
2899 # Loading work.exec_op(xor_op)
2900 # Loading work.exec_op(shift_op)
2901 # Loading work.extension_gpm(behav)
2902 # Loading work.extension_uart_pkg
2903 # Loading work.extension_7seg_pkg(body)
2904 # Loading work.writeback_stage(behav)
2905 # Loading work.r_w_ram_be(behaviour)
2906 # Loading work.extension_uart(behav)
2907 # Loading ieee.std_logic_arith(body)
2908 # Loading ieee.std_logic_unsigned(body)
2909 # Loading work.rs232_tx(beh)
2910 # Loading work.rs232_rx(beh)
2911 # Loading work.extension_7seg(behav)
2912 # Loading work.extension_interrupt(behav)
2913 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2914 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2915 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2916 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2917 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2918 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2919 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2920 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2921 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2922 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2923 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2924 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2925 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2926 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2927 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2928 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2929 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2930 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2931 run
2932 run
2933 do testcore.do
2934 # ** Warning: (vlib-34) Library already exists at "work".
2935 # Modifying modelsim.ini
2936 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2937 # -- Loading package standard
2938 # -- Loading package std_logic_1164
2939 # -- Loading package numeric_std
2940 # -- Compiling package mem_pkg
2941 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2942 # -- Loading package standard
2943 # -- Loading package std_logic_1164
2944 # -- Loading package numeric_std
2945 # -- Compiling entity r_w_ram
2946 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2947 # -- Loading package standard
2948 # -- Loading package std_logic_1164
2949 # -- Loading package numeric_std
2950 # -- Loading package mem_pkg
2951 # -- Compiling architecture behaviour of r_w_ram
2952 # -- Loading entity r_w_ram
2953 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2954 # -- Loading package standard
2955 # -- Loading package std_logic_1164
2956 # -- Loading package numeric_std
2957 # -- Compiling entity r_w_ram_be
2958 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2959 # -- Loading package standard
2960 # -- Loading package std_logic_1164
2961 # -- Loading package numeric_std
2962 # -- Loading package mem_pkg
2963 # -- Compiling architecture behaviour of r_w_ram_be
2964 # -- Loading entity r_w_ram_be
2965 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2966 # -- Loading package standard
2967 # -- Loading package std_logic_1164
2968 # -- Loading package numeric_std
2969 # -- Loading package mem_pkg
2970 # -- Compiling entity r2_w_ram
2971 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2972 # -- Loading package standard
2973 # -- Loading package std_logic_1164
2974 # -- Loading package numeric_std
2975 # -- Loading package mem_pkg
2976 # -- Compiling architecture behaviour of r2_w_ram
2977 # -- Loading entity r2_w_ram
2978 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2979 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2980 # -- Loading package standard
2981 # -- Loading package std_logic_1164
2982 # -- Loading package numeric_std
2983 # -- Compiling entity rom
2984 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2985 # -- Loading package standard
2986 # -- Loading package std_logic_1164
2987 # -- Loading package numeric_std
2988 # -- Loading package mem_pkg
2989 # -- Compiling architecture behaviour of rom
2990 # -- Loading entity rom
2991 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2992 # -- Loading package standard
2993 # -- Loading package std_logic_1164
2994 # -- Loading package numeric_std
2995 # -- Compiling package common_pkg
2996 # -- Compiling package body common_pkg
2997 # -- Loading package common_pkg
2998 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2999 # -- Loading package standard
3000 # -- Loading package std_logic_1164
3001 # -- Loading package numeric_std
3002 # -- Loading package common_pkg
3003 # -- Compiling package extension_pkg
3004 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3005 # -- Loading package standard
3006 # -- Loading package std_logic_1164
3007 # -- Loading package numeric_std
3008 # -- Loading package common_pkg
3009 # -- Loading package extension_pkg
3010 # -- Compiling package core_pkg
3011 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3012 # -- Loading package standard
3013 # -- Loading package std_logic_1164
3014 # -- Loading package numeric_std
3015 # -- Loading package common_pkg
3016 # -- Loading package extension_pkg
3017 # -- Compiling package extension_uart_pkg
3018 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3019 # -- Loading package standard
3020 # -- Loading package std_logic_1164
3021 # -- Loading package numeric_std
3022 # -- Loading package common_pkg
3023 # -- Loading package extension_pkg
3024 # -- Loading package extension_uart_pkg
3025 # -- Compiling entity extension_uart
3026 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3027 # -- Loading package standard
3028 # -- Loading package std_logic_1164
3029 # -- Loading package numeric_std
3030 # -- Loading package common_pkg
3031 # -- Loading package extension_pkg
3032 # -- Loading package core_pkg
3033 # -- Loading package mem_pkg
3034 # -- Loading package extension_uart_pkg
3035 # -- Compiling architecture behav of extension_uart
3036 # -- Loading entity extension_uart
3037 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3038 # -- Loading package standard
3039 # -- Loading package std_logic_1164
3040 # -- Loading package numeric_std
3041 # -- Loading package common_pkg
3042 # -- Loading package extension_pkg
3043 # -- Compiling entity extension_interrupt
3044 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3045 # -- Loading package standard
3046 # -- Loading package std_logic_1164
3047 # -- Loading package numeric_std
3048 # -- Loading package common_pkg
3049 # -- Loading package extension_pkg
3050 # -- Compiling architecture behav of extension_interrupt
3051 # -- Loading entity extension_interrupt
3052 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3053 # -- Loading package standard
3054 # -- Loading package std_logic_1164
3055 # -- Loading package numeric_std
3056 # -- Loading package common_pkg
3057 # -- Loading package extension_pkg
3058 # -- Compiling package extension_7seg_pkg
3059 # -- Compiling package body extension_7seg_pkg
3060 # -- Loading package extension_7seg_pkg
3061 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3062 # -- Loading package standard
3063 # -- Loading package std_logic_1164
3064 # -- Loading package numeric_std
3065 # -- Loading package common_pkg
3066 # -- Loading package extension_pkg
3067 # -- Loading package extension_7seg_pkg
3068 # -- Compiling entity extension_7seg
3069 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3070 # -- Loading package standard
3071 # -- Loading package std_logic_1164
3072 # -- Loading package numeric_std
3073 # -- Loading package common_pkg
3074 # -- Loading package extension_pkg
3075 # -- Loading package core_pkg
3076 # -- Loading package mem_pkg
3077 # -- Loading package extension_7seg_pkg
3078 # -- Compiling architecture behav of extension_7seg
3079 # -- Loading entity extension_7seg
3080 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3081 # -- Loading package standard
3082 # -- Loading package std_logic_1164
3083 # -- Loading package std_logic_arith
3084 # -- Loading package std_logic_unsigned
3085 # -- Loading package numeric_std
3086 # -- Loading package common_pkg
3087 # -- Loading package extension_pkg
3088 # -- Loading package core_pkg
3089 # -- Loading package extension_uart_pkg
3090 # -- Compiling entity rs232_tx
3091 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3092 # -- Loading package standard
3093 # -- Loading package std_logic_1164
3094 # -- Loading package numeric_std
3095 # -- Loading package common_pkg
3096 # -- Loading package extension_pkg
3097 # -- Loading package core_pkg
3098 # -- Loading package extension_uart_pkg
3099 # -- Compiling architecture beh of rs232_tx
3100 # -- Loading package std_logic_arith
3101 # -- Loading package std_logic_unsigned
3102 # -- Loading entity rs232_tx
3103 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3104 # -- Loading package standard
3105 # -- Loading package std_logic_1164
3106 # -- Loading package std_logic_arith
3107 # -- Loading package std_logic_unsigned
3108 # -- Loading package numeric_std
3109 # -- Loading package common_pkg
3110 # -- Loading package extension_pkg
3111 # -- Loading package core_pkg
3112 # -- Loading package extension_uart_pkg
3113 # -- Compiling entity rs232_rx
3114 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3115 # -- Loading package standard
3116 # -- Loading package std_logic_1164
3117 # -- Loading package numeric_std
3118 # -- Loading package common_pkg
3119 # -- Loading package extension_pkg
3120 # -- Loading package extension_uart_pkg
3121 # -- Loading package core_pkg
3122 # -- Compiling architecture beh of rs232_rx
3123 # -- Loading package std_logic_arith
3124 # -- Loading package std_logic_unsigned
3125 # -- Loading entity rs232_rx
3126 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3127 # -- Loading package standard
3128 # -- Loading package std_logic_1164
3129 # -- Loading package numeric_std
3130 # -- Loading package common_pkg
3131 # -- Loading package extension_pkg
3132 # -- Loading package core_pkg
3133 # -- Compiling entity decoder
3134 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3135 # -- Loading package standard
3136 # -- Loading package std_logic_1164
3137 # -- Loading package numeric_std
3138 # -- Loading package mem_pkg
3139 # -- Loading package common_pkg
3140 # -- Loading package extension_pkg
3141 # -- Loading package core_pkg
3142 # -- Compiling architecture behav_d of decoder
3143 # -- Loading entity decoder
3144 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3145 # -- Loading package standard
3146 # -- Loading package std_logic_1164
3147 # -- Loading package numeric_std
3148 # -- Loading package common_pkg
3149 # -- Loading package extension_pkg
3150 # -- Loading package core_pkg
3151 # -- Compiling entity fetch_stage
3152 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3153 # -- Loading package standard
3154 # -- Loading package std_logic_1164
3155 # -- Loading package numeric_std
3156 # -- Loading package common_pkg
3157 # -- Loading package extension_pkg
3158 # -- Loading package core_pkg
3159 # -- Loading package mem_pkg
3160 # -- Compiling architecture behav of fetch_stage
3161 # -- Loading entity fetch_stage
3162 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3163 # -- Loading package standard
3164 # -- Loading package std_logic_1164
3165 # -- Loading package numeric_std
3166 # -- Loading package common_pkg
3167 # -- Loading package extension_pkg
3168 # -- Loading package core_pkg
3169 # -- Compiling entity decode_stage
3170 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3171 # -- Loading package standard
3172 # -- Loading package std_logic_1164
3173 # -- Loading package numeric_std
3174 # -- Loading package mem_pkg
3175 # -- Loading package common_pkg
3176 # -- Loading package extension_pkg
3177 # -- Loading package core_pkg
3178 # -- Compiling architecture behav of decode_stage
3179 # -- Loading entity decode_stage
3180 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3181 # -- Loading package standard
3182 # -- Loading package std_logic_1164
3183 # -- Loading package numeric_std
3184 # -- Loading package common_pkg
3185 # -- Loading package extension_pkg
3186 # -- Compiling package alu_pkg
3187 # -- Compiling package body alu_pkg
3188 # -- Loading package alu_pkg
3189 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3190 # -- Loading package standard
3191 # -- Loading package std_logic_1164
3192 # -- Loading package numeric_std
3193 # -- Loading package common_pkg
3194 # -- Compiling package extension_pkg
3195 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3196 # -- Loading package standard
3197 # -- Loading package std_logic_1164
3198 # -- Loading package numeric_std
3199 # -- Loading package common_pkg
3200 # -- Loading package extension_pkg
3201 # -- Loading package alu_pkg
3202 # -- Compiling entity exec_op
3203 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3204 # -- Loading package standard
3205 # -- Loading package std_logic_1164
3206 # -- Loading package numeric_std
3207 # -- Loading package common_pkg
3208 # -- Loading package extension_pkg
3209 # -- Loading package alu_pkg
3210 # -- Compiling architecture add_op of exec_op
3211 # -- Loading entity exec_op
3212 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3213 # -- Loading package standard
3214 # -- Loading package std_logic_1164
3215 # -- Loading package numeric_std
3216 # -- Loading package common_pkg
3217 # -- Loading package extension_pkg
3218 # -- Loading package alu_pkg
3219 # -- Compiling architecture and_op of exec_op
3220 # -- Loading entity exec_op
3221 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3222 # -- Loading package standard
3223 # -- Loading package std_logic_1164
3224 # -- Loading package numeric_std
3225 # -- Loading package common_pkg
3226 # -- Loading package extension_pkg
3227 # -- Loading package alu_pkg
3228 # -- Compiling architecture or_op of exec_op
3229 # -- Loading entity exec_op
3230 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3231 # -- Loading package standard
3232 # -- Loading package std_logic_1164
3233 # -- Loading package numeric_std
3234 # -- Loading package common_pkg
3235 # -- Loading package extension_pkg
3236 # -- Loading package alu_pkg
3237 # -- Compiling architecture xor_op of exec_op
3238 # -- Loading entity exec_op
3239 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3240 # -- Loading package standard
3241 # -- Loading package std_logic_1164
3242 # -- Loading package numeric_std
3243 # -- Loading package common_pkg
3244 # -- Loading package extension_pkg
3245 # -- Loading package alu_pkg
3246 # -- Compiling architecture shift_op of exec_op
3247 # -- Loading entity exec_op
3248 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3249 # -- Loading package standard
3250 # -- Loading package std_logic_1164
3251 # -- Loading package numeric_std
3252 # -- Loading package common_pkg
3253 # -- Loading package extension_pkg
3254 # -- Loading package alu_pkg
3255 # -- Compiling entity alu
3256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3257 # -- Loading package standard
3258 # -- Loading package std_logic_1164
3259 # -- Loading package numeric_std
3260 # -- Loading package common_pkg
3261 # -- Loading package extension_pkg
3262 # -- Loading package alu_pkg
3263 # -- Compiling architecture behaviour of alu
3264 # -- Loading entity alu
3265 # -- Loading entity exec_op
3266 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3267 # -- Loading package standard
3268 # -- Loading package std_logic_1164
3269 # -- Loading package numeric_std
3270 # -- Loading package common_pkg
3271 # -- Compiling package extension_pkg
3272 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3273 # -- Loading package standard
3274 # -- Loading package std_logic_1164
3275 # -- Loading package numeric_std
3276 # -- Loading package common_pkg
3277 # -- Loading package extension_pkg
3278 # -- Compiling entity extension_gpm
3279 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3280 # -- Loading package standard
3281 # -- Loading package std_logic_1164
3282 # -- Loading package numeric_std
3283 # -- Loading package common_pkg
3284 # -- Loading package extension_pkg
3285 # -- Loading package core_pkg
3286 # -- Loading package mem_pkg
3287 # -- Compiling architecture behav of extension_gpm
3288 # -- Loading entity extension_gpm
3289 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3290 # -- Loading package standard
3291 # -- Loading package std_logic_1164
3292 # -- Loading package numeric_std
3293 # -- Loading package common_pkg
3294 # -- Loading package extension_pkg
3295 # -- Loading package alu_pkg
3296 # -- Compiling entity execute_stage
3297 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3298 # -- Loading package standard
3299 # -- Loading package std_logic_1164
3300 # -- Loading package numeric_std
3301 # -- Loading package common_pkg
3302 # -- Loading package extension_pkg
3303 # -- Loading package alu_pkg
3304 # -- Compiling architecture behav of execute_stage
3305 # -- Loading entity execute_stage
3306 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3307 # -- Loading package standard
3308 # -- Loading package std_logic_1164
3309 # -- Loading package numeric_std
3310 # -- Loading package common_pkg
3311 # -- Compiling entity writeback_stage
3312 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3313 # -- Loading package standard
3314 # -- Loading package std_logic_1164
3315 # -- Loading package numeric_std
3316 # -- Loading package common_pkg
3317 # -- Loading package extension_pkg
3318 # -- Loading package core_pkg
3319 # -- Loading package mem_pkg
3320 # -- Loading package extension_uart_pkg
3321 # -- Loading package extension_7seg_pkg
3322 # -- Compiling architecture behav of writeback_stage
3323 # -- Loading entity writeback_stage
3324 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
3325 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
3326 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
3327 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
3328 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
3329 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3330 # -- Loading package standard
3331 # -- Loading package std_logic_1164
3332 # -- Loading package numeric_std
3333 # -- Loading package common_pkg
3334 # -- Loading package extension_pkg
3335 # -- Loading package core_pkg
3336 # -- Compiling entity pipeline_tb
3337 # -- Compiling architecture behavior of pipeline_tb
3338 # -- Compiling configuration pipeline_conf_beh
3339 # -- Loading entity pipeline_tb
3340 # -- Loading architecture behavior of pipeline_tb
3341 # -- Loading entity fetch_stage
3342 # -- Loading entity decode_stage
3343 # -- Loading package alu_pkg
3344 # -- Loading entity execute_stage
3345 # -- Loading entity writeback_stage
3346 # vsim -t ns work.pipeline_conf_beh 
3347 # Loading std.standard
3348 # Loading ieee.std_logic_1164(body)
3349 # Loading ieee.numeric_std(body)
3350 # Loading work.common_pkg(body)
3351 # Loading work.extension_pkg
3352 # Loading work.core_pkg
3353 # Loading work.alu_pkg(body)
3354 # Loading work.pipeline_conf_beh
3355 # Loading work.pipeline_tb(behavior)
3356 # Loading work.mem_pkg
3357 # Loading work.fetch_stage(behav)
3358 # Loading work.r_w_ram(behaviour)
3359 # Loading work.rom(behaviour)
3360 # Loading work.decode_stage(behav)
3361 # Loading work.r2_w_ram(behaviour)
3362 # Loading work.decoder(behav_d)
3363 # Loading work.execute_stage(behav)
3364 # Loading work.alu(behaviour)
3365 # Loading work.exec_op(add_op)
3366 # Loading work.exec_op(and_op)
3367 # Loading work.exec_op(or_op)
3368 # Loading work.exec_op(xor_op)
3369 # Loading work.exec_op(shift_op)
3370 # Loading work.extension_gpm(behav)
3371 # Loading work.extension_uart_pkg
3372 # Loading work.extension_7seg_pkg(body)
3373 # Loading work.writeback_stage(behav)
3374 # Loading work.r_w_ram_be(behaviour)
3375 # Loading work.extension_uart(behav)
3376 # Loading ieee.std_logic_arith(body)
3377 # Loading ieee.std_logic_unsigned(body)
3378 # Loading work.rs232_tx(beh)
3379 # Loading work.rs232_rx(beh)
3380 # Loading work.extension_7seg(behav)
3381 # Loading work.extension_interrupt(behav)
3382 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3383 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3384 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3385 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3386 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3387 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3388 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3389 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3390 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3391 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3392 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3393 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3394 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3395 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3396 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3397 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3398 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3399 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3400 run