added byte enable, tested ldi, ldb, stb
[calu.git] / 3a_asm / transcript
1 ls
2 # Control
3 # doc
4 # dtas
5 # DTFormat.hi
6 # DTFormat.hs
7 # DTFormat.o
8 # DT.hi
9 # DT.hs
10 # DT.o
11 # Expr_eval.hi
12 # Expr_eval.hs
13 # Expr_eval.o
14 # Main.hi
15 # Main.hs
16 # Main.o
17 # Makefile
18 # notes
19 # Text
20 # transcript
21 # tst
22 pwd
23 # /home/stefan/processor/calu/3a_asm
24 cd ..
25 cd cpu/sim
26 # reading modelsim.ini
27 ls
28 # modelsim.ini
29 # testcore1.do
30 # testcore.do
31 # transcript
32 # vsim.wlf
33 # wave.do
34 # work
35 do testcore.do
36 # ** Warning: (vlib-34) Library already exists at "work".
37 # Modifying modelsim.ini
38 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
39 # -- Loading package standard
40 # -- Loading package std_logic_1164
41 # -- Loading package numeric_std
42 # -- Compiling package mem_pkg
43 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
44 # -- Loading package standard
45 # -- Loading package std_logic_1164
46 # -- Loading package numeric_std
47 # -- Compiling entity r_w_ram
48 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
49 # -- Loading package standard
50 # -- Loading package std_logic_1164
51 # -- Loading package numeric_std
52 # -- Loading package mem_pkg
53 # -- Compiling architecture behaviour of r_w_ram
54 # -- Loading entity r_w_ram
55 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
56 # -- Loading package standard
57 # -- Loading package std_logic_1164
58 # -- Loading package numeric_std
59 # -- Loading package mem_pkg
60 # -- Compiling entity r2_w_ram
61 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
62 # -- Loading package standard
63 # -- Loading package std_logic_1164
64 # -- Loading package numeric_std
65 # -- Loading package mem_pkg
66 # -- Compiling architecture behaviour of r2_w_ram
67 # -- Loading entity r2_w_ram
68 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
69 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
70 # -- Loading package standard
71 # -- Loading package std_logic_1164
72 # -- Loading package numeric_std
73 # -- Compiling entity rom
74 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
75 # -- Loading package standard
76 # -- Loading package std_logic_1164
77 # -- Loading package numeric_std
78 # -- Loading package mem_pkg
79 # -- Compiling architecture behaviour of rom
80 # -- Loading entity rom
81 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
82 # -- Loading package standard
83 # -- Loading package std_logic_1164
84 # -- Loading package numeric_std
85 # -- Compiling package common_pkg
86 # -- Compiling package body common_pkg
87 # -- Loading package common_pkg
88 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
89 # -- Loading package standard
90 # -- Loading package std_logic_1164
91 # -- Loading package numeric_std
92 # -- Loading package common_pkg
93 # -- Compiling package extension_pkg
94 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
95 # -- Loading package standard
96 # -- Loading package std_logic_1164
97 # -- Loading package numeric_std
98 # -- Loading package common_pkg
99 # -- Loading package extension_pkg
100 # -- Compiling package core_pkg
101 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
102 # -- Loading package standard
103 # -- Loading package std_logic_1164
104 # -- Loading package numeric_std
105 # -- Loading package common_pkg
106 # -- Loading package extension_pkg
107 # -- Compiling package extension_uart_pkg
108 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
109 # -- Loading package standard
110 # -- Loading package std_logic_1164
111 # -- Loading package numeric_std
112 # -- Loading package common_pkg
113 # -- Loading package extension_pkg
114 # -- Loading package extension_uart_pkg
115 # -- Compiling entity extension_uart
116 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
117 # -- Loading package standard
118 # -- Loading package std_logic_1164
119 # -- Loading package numeric_std
120 # -- Loading package common_pkg
121 # -- Loading package extension_pkg
122 # -- Loading package core_pkg
123 # -- Loading package mem_pkg
124 # -- Loading package extension_uart_pkg
125 # -- Compiling architecture behav of extension_uart
126 # -- Loading entity extension_uart
127 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
128 # -- Loading package standard
129 # -- Loading package std_logic_1164
130 # -- Loading package numeric_std
131 # -- Loading package common_pkg
132 # -- Loading package extension_pkg
133 # -- Compiling package extension_7seg_pkg
134 # -- Compiling package body extension_7seg_pkg
135 # -- Loading package extension_7seg_pkg
136 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
137 # -- Loading package standard
138 # -- Loading package std_logic_1164
139 # -- Loading package numeric_std
140 # -- Loading package common_pkg
141 # -- Loading package extension_pkg
142 # -- Loading package extension_7seg_pkg
143 # -- Compiling entity extension_7seg
144 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
145 # -- Loading package standard
146 # -- Loading package std_logic_1164
147 # -- Loading package numeric_std
148 # -- Loading package common_pkg
149 # -- Loading package extension_pkg
150 # -- Loading package core_pkg
151 # -- Loading package mem_pkg
152 # -- Loading package extension_7seg_pkg
153 # -- Compiling architecture behav of extension_7seg
154 # -- Loading entity extension_7seg
155 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
156 # -- Loading package standard
157 # -- Loading package std_logic_1164
158 # -- Loading package std_logic_arith
159 # -- Loading package std_logic_unsigned
160 # -- Loading package numeric_std
161 # -- Loading package common_pkg
162 # -- Loading package extension_pkg
163 # -- Loading package core_pkg
164 # -- Loading package extension_uart_pkg
165 # -- Compiling entity rs232_tx
166 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
167 # -- Loading package standard
168 # -- Loading package std_logic_1164
169 # -- Loading package numeric_std
170 # -- Loading package common_pkg
171 # -- Loading package extension_pkg
172 # -- Loading package core_pkg
173 # -- Loading package extension_uart_pkg
174 # -- Compiling architecture beh of rs232_tx
175 # -- Loading package std_logic_arith
176 # -- Loading package std_logic_unsigned
177 # -- Loading entity rs232_tx
178 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
179 # -- Loading package standard
180 # -- Loading package std_logic_1164
181 # -- Loading package std_logic_arith
182 # -- Loading package std_logic_unsigned
183 # -- Loading package numeric_std
184 # -- Loading package common_pkg
185 # -- Loading package extension_pkg
186 # -- Loading package core_pkg
187 # -- Loading package extension_uart_pkg
188 # -- Compiling entity rs232_rx
189 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
190 # -- Loading package standard
191 # -- Loading package std_logic_1164
192 # -- Loading package numeric_std
193 # -- Loading package common_pkg
194 # -- Loading package extension_pkg
195 # -- Loading package extension_uart_pkg
196 # -- Loading package core_pkg
197 # -- Compiling architecture beh of rs232_rx
198 # -- Loading package std_logic_arith
199 # -- Loading package std_logic_unsigned
200 # -- Loading entity rs232_rx
201 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
202 # -- Loading package standard
203 # -- Loading package std_logic_1164
204 # -- Loading package numeric_std
205 # -- Loading package common_pkg
206 # -- Loading package extension_pkg
207 # -- Loading package core_pkg
208 # -- Compiling entity decoder
209 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
210 # -- Loading package standard
211 # -- Loading package std_logic_1164
212 # -- Loading package numeric_std
213 # -- Loading package mem_pkg
214 # -- Loading package common_pkg
215 # -- Loading package extension_pkg
216 # -- Loading package core_pkg
217 # -- Compiling architecture behav_d of decoder
218 # -- Loading entity decoder
219 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
220 # -- Loading package standard
221 # -- Loading package std_logic_1164
222 # -- Loading package numeric_std
223 # -- Loading package common_pkg
224 # -- Loading package extension_pkg
225 # -- Loading package core_pkg
226 # -- Compiling entity fetch_stage
227 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
228 # -- Loading package standard
229 # -- Loading package std_logic_1164
230 # -- Loading package numeric_std
231 # -- Loading package common_pkg
232 # -- Loading package extension_pkg
233 # -- Loading package core_pkg
234 # -- Loading package mem_pkg
235 # -- Compiling architecture behav of fetch_stage
236 # -- Loading entity fetch_stage
237 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
238 # -- Loading package standard
239 # -- Loading package std_logic_1164
240 # -- Loading package numeric_std
241 # -- Loading package common_pkg
242 # -- Loading package extension_pkg
243 # -- Loading package core_pkg
244 # -- Compiling entity decode_stage
245 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
246 # -- Loading package standard
247 # -- Loading package std_logic_1164
248 # -- Loading package numeric_std
249 # -- Loading package mem_pkg
250 # -- Loading package common_pkg
251 # -- Loading package extension_pkg
252 # -- Loading package core_pkg
253 # -- Compiling architecture behav of decode_stage
254 # -- Loading entity decode_stage
255 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
256 # -- Loading package standard
257 # -- Loading package std_logic_1164
258 # -- Loading package numeric_std
259 # -- Loading package common_pkg
260 # -- Loading package extension_pkg
261 # -- Compiling package alu_pkg
262 # -- Compiling package body alu_pkg
263 # -- Loading package alu_pkg
264 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
265 # -- Loading package standard
266 # -- Loading package std_logic_1164
267 # -- Loading package numeric_std
268 # -- Loading package common_pkg
269 # -- Compiling package extension_pkg
270 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
271 # -- Loading package standard
272 # -- Loading package std_logic_1164
273 # -- Loading package numeric_std
274 # -- Loading package common_pkg
275 # -- Loading package extension_pkg
276 # -- Loading package alu_pkg
277 # -- Compiling entity exec_op
278 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
279 # -- Loading package standard
280 # -- Loading package std_logic_1164
281 # -- Loading package numeric_std
282 # -- Loading package common_pkg
283 # -- Loading package extension_pkg
284 # -- Loading package alu_pkg
285 # -- Compiling architecture add_op of exec_op
286 # -- Loading entity exec_op
287 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
288 # -- Loading package standard
289 # -- Loading package std_logic_1164
290 # -- Loading package numeric_std
291 # -- Loading package common_pkg
292 # -- Loading package extension_pkg
293 # -- Loading package alu_pkg
294 # -- Compiling architecture and_op of exec_op
295 # -- Loading entity exec_op
296 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
297 # -- Loading package standard
298 # -- Loading package std_logic_1164
299 # -- Loading package numeric_std
300 # -- Loading package common_pkg
301 # -- Loading package extension_pkg
302 # -- Loading package alu_pkg
303 # -- Compiling architecture or_op of exec_op
304 # -- Loading entity exec_op
305 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
306 # -- Loading package standard
307 # -- Loading package std_logic_1164
308 # -- Loading package numeric_std
309 # -- Loading package common_pkg
310 # -- Loading package extension_pkg
311 # -- Loading package alu_pkg
312 # -- Compiling architecture xor_op of exec_op
313 # -- Loading entity exec_op
314 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
315 # -- Loading package standard
316 # -- Loading package std_logic_1164
317 # -- Loading package numeric_std
318 # -- Loading package common_pkg
319 # -- Loading package extension_pkg
320 # -- Loading package alu_pkg
321 # -- Compiling architecture shift_op of exec_op
322 # -- Loading entity exec_op
323 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
324 # -- Loading package standard
325 # -- Loading package std_logic_1164
326 # -- Loading package numeric_std
327 # -- Loading package common_pkg
328 # -- Loading package extension_pkg
329 # -- Loading package alu_pkg
330 # -- Compiling entity alu
331 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
332 # -- Loading package standard
333 # -- Loading package std_logic_1164
334 # -- Loading package numeric_std
335 # -- Loading package common_pkg
336 # -- Loading package extension_pkg
337 # -- Loading package alu_pkg
338 # -- Compiling architecture behaviour of alu
339 # -- Loading entity alu
340 # -- Loading entity exec_op
341 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
342 # -- Loading package standard
343 # -- Loading package std_logic_1164
344 # -- Loading package numeric_std
345 # -- Loading package common_pkg
346 # -- Compiling package extension_pkg
347 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
348 # -- Loading package standard
349 # -- Loading package std_logic_1164
350 # -- Loading package numeric_std
351 # -- Loading package common_pkg
352 # -- Loading package extension_pkg
353 # -- Compiling entity extension_gpm
354 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
355 # -- Loading package standard
356 # -- Loading package std_logic_1164
357 # -- Loading package numeric_std
358 # -- Loading package common_pkg
359 # -- Loading package extension_pkg
360 # -- Loading package core_pkg
361 # -- Loading package mem_pkg
362 # -- Compiling architecture behav of extension_gpm
363 # -- Loading entity extension_gpm
364 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
365 # -- Loading package standard
366 # -- Loading package std_logic_1164
367 # -- Loading package numeric_std
368 # -- Loading package common_pkg
369 # -- Loading package extension_pkg
370 # -- Loading package alu_pkg
371 # -- Compiling entity execute_stage
372 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
373 # -- Loading package standard
374 # -- Loading package std_logic_1164
375 # -- Loading package numeric_std
376 # -- Loading package common_pkg
377 # -- Loading package extension_pkg
378 # -- Loading package alu_pkg
379 # -- Compiling architecture behav of execute_stage
380 # -- Loading entity execute_stage
381 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
382 # -- Loading package standard
383 # -- Loading package std_logic_1164
384 # -- Loading package numeric_std
385 # -- Loading package common_pkg
386 # -- Compiling entity writeback_stage
387 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
388 # -- Loading package standard
389 # -- Loading package std_logic_1164
390 # -- Loading package numeric_std
391 # -- Loading package common_pkg
392 # -- Loading package extension_pkg
393 # -- Loading package core_pkg
394 # -- Loading package mem_pkg
395 # -- Loading package extension_uart_pkg
396 # -- Loading package extension_7seg_pkg
397 # -- Compiling architecture behav of writeback_stage
398 # -- Loading entity writeback_stage
399 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
400 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
401 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
402 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
403 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
404 # -- Loading package standard
405 # -- Loading package std_logic_1164
406 # -- Loading package numeric_std
407 # -- Loading package common_pkg
408 # -- Loading package extension_pkg
409 # -- Loading package core_pkg
410 # -- Compiling entity pipeline_tb
411 # -- Compiling architecture behavior of pipeline_tb
412 # -- Compiling configuration pipeline_conf_beh
413 # -- Loading entity pipeline_tb
414 # -- Loading architecture behavior of pipeline_tb
415 # -- Loading entity fetch_stage
416 # -- Loading entity decode_stage
417 # -- Loading package alu_pkg
418 # -- Loading entity execute_stage
419 # -- Loading entity writeback_stage
420 # vsim -t ns work.pipeline_conf_beh 
421 # Loading std.standard
422 # Loading ieee.std_logic_1164(body)
423 # Loading ieee.numeric_std(body)
424 # Loading work.common_pkg(body)
425 # Loading work.extension_pkg
426 # Loading work.core_pkg
427 # Loading work.alu_pkg(body)
428 # Loading work.pipeline_conf_beh
429 # Loading work.pipeline_tb(behavior)
430 # Loading work.mem_pkg
431 # Loading work.fetch_stage(behav)
432 # Loading work.rom(behaviour)
433 # Loading work.decode_stage(behav)
434 # Loading work.r2_w_ram(behaviour)
435 # Loading work.decoder(behav_d)
436 # Loading work.execute_stage(behav)
437 # Loading work.alu(behaviour)
438 # Loading work.exec_op(add_op)
439 # Loading work.exec_op(and_op)
440 # Loading work.exec_op(or_op)
441 # Loading work.exec_op(xor_op)
442 # Loading work.exec_op(shift_op)
443 # Loading work.extension_gpm(behav)
444 # Loading work.extension_uart_pkg
445 # Loading work.extension_7seg_pkg(body)
446 # Loading work.writeback_stage(behav)
447 # Loading work.r_w_ram(behaviour)
448 # Loading work.extension_uart(behav)
449 # Loading ieee.std_logic_arith(body)
450 # Loading ieee.std_logic_unsigned(body)
451 # Loading work.rs232_tx(beh)
452 # Loading work.rs232_rx(beh)
453 # Loading work.extension_7seg(behav)
454 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
455 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
456 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
457 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
458 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
459 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
460 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
461 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
462 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
463 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
464 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
465 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
466 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
467 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
468 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
469 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
470 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
471 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
472 run
473 run
474 run
475 run
476 do testcore.do
477 # ** Warning: (vlib-34) Library already exists at "work".
478 # Modifying modelsim.ini
479 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
480 # -- Loading package standard
481 # -- Loading package std_logic_1164
482 # -- Loading package numeric_std
483 # -- Compiling package mem_pkg
484 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
485 # -- Loading package standard
486 # -- Loading package std_logic_1164
487 # -- Loading package numeric_std
488 # -- Compiling entity r_w_ram
489 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
490 # -- Loading package standard
491 # -- Loading package std_logic_1164
492 # -- Loading package numeric_std
493 # -- Loading package mem_pkg
494 # -- Compiling architecture behaviour of r_w_ram
495 # -- Loading entity r_w_ram
496 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
497 # -- Loading package standard
498 # -- Loading package std_logic_1164
499 # -- Loading package numeric_std
500 # -- Loading package mem_pkg
501 # -- Compiling entity r2_w_ram
502 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
503 # -- Loading package standard
504 # -- Loading package std_logic_1164
505 # -- Loading package numeric_std
506 # -- Loading package mem_pkg
507 # -- Compiling architecture behaviour of r2_w_ram
508 # -- Loading entity r2_w_ram
509 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
511 # -- Loading package standard
512 # -- Loading package std_logic_1164
513 # -- Loading package numeric_std
514 # -- Compiling entity rom
515 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
516 # -- Loading package standard
517 # -- Loading package std_logic_1164
518 # -- Loading package numeric_std
519 # -- Loading package mem_pkg
520 # -- Compiling architecture behaviour of rom
521 # -- Loading entity rom
522 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
523 # -- Loading package standard
524 # -- Loading package std_logic_1164
525 # -- Loading package numeric_std
526 # -- Compiling package common_pkg
527 # -- Compiling package body common_pkg
528 # -- Loading package common_pkg
529 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
530 # -- Loading package standard
531 # -- Loading package std_logic_1164
532 # -- Loading package numeric_std
533 # -- Loading package common_pkg
534 # -- Compiling package extension_pkg
535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
536 # -- Loading package standard
537 # -- Loading package std_logic_1164
538 # -- Loading package numeric_std
539 # -- Loading package common_pkg
540 # -- Loading package extension_pkg
541 # -- Compiling package core_pkg
542 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
543 # -- Loading package standard
544 # -- Loading package std_logic_1164
545 # -- Loading package numeric_std
546 # -- Loading package common_pkg
547 # -- Loading package extension_pkg
548 # -- Compiling package extension_uart_pkg
549 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
550 # -- Loading package standard
551 # -- Loading package std_logic_1164
552 # -- Loading package numeric_std
553 # -- Loading package common_pkg
554 # -- Loading package extension_pkg
555 # -- Loading package extension_uart_pkg
556 # -- Compiling entity extension_uart
557 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
558 # -- Loading package standard
559 # -- Loading package std_logic_1164
560 # -- Loading package numeric_std
561 # -- Loading package common_pkg
562 # -- Loading package extension_pkg
563 # -- Loading package core_pkg
564 # -- Loading package mem_pkg
565 # -- Loading package extension_uart_pkg
566 # -- Compiling architecture behav of extension_uart
567 # -- Loading entity extension_uart
568 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
569 # -- Loading package standard
570 # -- Loading package std_logic_1164
571 # -- Loading package numeric_std
572 # -- Loading package common_pkg
573 # -- Loading package extension_pkg
574 # -- Compiling package extension_7seg_pkg
575 # -- Compiling package body extension_7seg_pkg
576 # -- Loading package extension_7seg_pkg
577 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
578 # -- Loading package standard
579 # -- Loading package std_logic_1164
580 # -- Loading package numeric_std
581 # -- Loading package common_pkg
582 # -- Loading package extension_pkg
583 # -- Loading package extension_7seg_pkg
584 # -- Compiling entity extension_7seg
585 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
586 # -- Loading package standard
587 # -- Loading package std_logic_1164
588 # -- Loading package numeric_std
589 # -- Loading package common_pkg
590 # -- Loading package extension_pkg
591 # -- Loading package core_pkg
592 # -- Loading package mem_pkg
593 # -- Loading package extension_7seg_pkg
594 # -- Compiling architecture behav of extension_7seg
595 # -- Loading entity extension_7seg
596 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
597 # -- Loading package standard
598 # -- Loading package std_logic_1164
599 # -- Loading package std_logic_arith
600 # -- Loading package std_logic_unsigned
601 # -- Loading package numeric_std
602 # -- Loading package common_pkg
603 # -- Loading package extension_pkg
604 # -- Loading package core_pkg
605 # -- Loading package extension_uart_pkg
606 # -- Compiling entity rs232_tx
607 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
608 # -- Loading package standard
609 # -- Loading package std_logic_1164
610 # -- Loading package numeric_std
611 # -- Loading package common_pkg
612 # -- Loading package extension_pkg
613 # -- Loading package core_pkg
614 # -- Loading package extension_uart_pkg
615 # -- Compiling architecture beh of rs232_tx
616 # -- Loading package std_logic_arith
617 # -- Loading package std_logic_unsigned
618 # -- Loading entity rs232_tx
619 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
620 # -- Loading package standard
621 # -- Loading package std_logic_1164
622 # -- Loading package std_logic_arith
623 # -- Loading package std_logic_unsigned
624 # -- Loading package numeric_std
625 # -- Loading package common_pkg
626 # -- Loading package extension_pkg
627 # -- Loading package core_pkg
628 # -- Loading package extension_uart_pkg
629 # -- Compiling entity rs232_rx
630 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
631 # -- Loading package standard
632 # -- Loading package std_logic_1164
633 # -- Loading package numeric_std
634 # -- Loading package common_pkg
635 # -- Loading package extension_pkg
636 # -- Loading package extension_uart_pkg
637 # -- Loading package core_pkg
638 # -- Compiling architecture beh of rs232_rx
639 # -- Loading package std_logic_arith
640 # -- Loading package std_logic_unsigned
641 # -- Loading entity rs232_rx
642 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
643 # -- Loading package standard
644 # -- Loading package std_logic_1164
645 # -- Loading package numeric_std
646 # -- Loading package common_pkg
647 # -- Loading package extension_pkg
648 # -- Loading package core_pkg
649 # -- Compiling entity decoder
650 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
651 # -- Loading package standard
652 # -- Loading package std_logic_1164
653 # -- Loading package numeric_std
654 # -- Loading package mem_pkg
655 # -- Loading package common_pkg
656 # -- Loading package extension_pkg
657 # -- Loading package core_pkg
658 # -- Compiling architecture behav_d of decoder
659 # -- Loading entity decoder
660 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
661 # -- Loading package standard
662 # -- Loading package std_logic_1164
663 # -- Loading package numeric_std
664 # -- Loading package common_pkg
665 # -- Loading package extension_pkg
666 # -- Loading package core_pkg
667 # -- Compiling entity fetch_stage
668 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
669 # -- Loading package standard
670 # -- Loading package std_logic_1164
671 # -- Loading package numeric_std
672 # -- Loading package common_pkg
673 # -- Loading package extension_pkg
674 # -- Loading package core_pkg
675 # -- Loading package mem_pkg
676 # -- Compiling architecture behav of fetch_stage
677 # -- Loading entity fetch_stage
678 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
679 # -- Loading package standard
680 # -- Loading package std_logic_1164
681 # -- Loading package numeric_std
682 # -- Loading package common_pkg
683 # -- Loading package extension_pkg
684 # -- Loading package core_pkg
685 # -- Compiling entity decode_stage
686 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
687 # -- Loading package standard
688 # -- Loading package std_logic_1164
689 # -- Loading package numeric_std
690 # -- Loading package mem_pkg
691 # -- Loading package common_pkg
692 # -- Loading package extension_pkg
693 # -- Loading package core_pkg
694 # -- Compiling architecture behav of decode_stage
695 # -- Loading entity decode_stage
696 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
697 # -- Loading package standard
698 # -- Loading package std_logic_1164
699 # -- Loading package numeric_std
700 # -- Loading package common_pkg
701 # -- Loading package extension_pkg
702 # -- Compiling package alu_pkg
703 # -- Compiling package body alu_pkg
704 # -- Loading package alu_pkg
705 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
706 # -- Loading package standard
707 # -- Loading package std_logic_1164
708 # -- Loading package numeric_std
709 # -- Loading package common_pkg
710 # -- Compiling package extension_pkg
711 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
712 # -- Loading package standard
713 # -- Loading package std_logic_1164
714 # -- Loading package numeric_std
715 # -- Loading package common_pkg
716 # -- Loading package extension_pkg
717 # -- Loading package alu_pkg
718 # -- Compiling entity exec_op
719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
720 # -- Loading package standard
721 # -- Loading package std_logic_1164
722 # -- Loading package numeric_std
723 # -- Loading package common_pkg
724 # -- Loading package extension_pkg
725 # -- Loading package alu_pkg
726 # -- Compiling architecture add_op of exec_op
727 # -- Loading entity exec_op
728 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
729 # -- Loading package standard
730 # -- Loading package std_logic_1164
731 # -- Loading package numeric_std
732 # -- Loading package common_pkg
733 # -- Loading package extension_pkg
734 # -- Loading package alu_pkg
735 # -- Compiling architecture and_op of exec_op
736 # -- Loading entity exec_op
737 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
738 # -- Loading package standard
739 # -- Loading package std_logic_1164
740 # -- Loading package numeric_std
741 # -- Loading package common_pkg
742 # -- Loading package extension_pkg
743 # -- Loading package alu_pkg
744 # -- Compiling architecture or_op of exec_op
745 # -- Loading entity exec_op
746 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
747 # -- Loading package standard
748 # -- Loading package std_logic_1164
749 # -- Loading package numeric_std
750 # -- Loading package common_pkg
751 # -- Loading package extension_pkg
752 # -- Loading package alu_pkg
753 # -- Compiling architecture xor_op of exec_op
754 # -- Loading entity exec_op
755 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
756 # -- Loading package standard
757 # -- Loading package std_logic_1164
758 # -- Loading package numeric_std
759 # -- Loading package common_pkg
760 # -- Loading package extension_pkg
761 # -- Loading package alu_pkg
762 # -- Compiling architecture shift_op of exec_op
763 # -- Loading entity exec_op
764 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
765 # -- Loading package standard
766 # -- Loading package std_logic_1164
767 # -- Loading package numeric_std
768 # -- Loading package common_pkg
769 # -- Loading package extension_pkg
770 # -- Loading package alu_pkg
771 # -- Compiling entity alu
772 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
773 # -- Loading package standard
774 # -- Loading package std_logic_1164
775 # -- Loading package numeric_std
776 # -- Loading package common_pkg
777 # -- Loading package extension_pkg
778 # -- Loading package alu_pkg
779 # -- Compiling architecture behaviour of alu
780 # -- Loading entity alu
781 # -- Loading entity exec_op
782 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
783 # -- Loading package standard
784 # -- Loading package std_logic_1164
785 # -- Loading package numeric_std
786 # -- Loading package common_pkg
787 # -- Compiling package extension_pkg
788 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
789 # -- Loading package standard
790 # -- Loading package std_logic_1164
791 # -- Loading package numeric_std
792 # -- Loading package common_pkg
793 # -- Loading package extension_pkg
794 # -- Compiling entity extension_gpm
795 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
796 # -- Loading package standard
797 # -- Loading package std_logic_1164
798 # -- Loading package numeric_std
799 # -- Loading package common_pkg
800 # -- Loading package extension_pkg
801 # -- Loading package core_pkg
802 # -- Loading package mem_pkg
803 # -- Compiling architecture behav of extension_gpm
804 # -- Loading entity extension_gpm
805 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
806 # -- Loading package standard
807 # -- Loading package std_logic_1164
808 # -- Loading package numeric_std
809 # -- Loading package common_pkg
810 # -- Loading package extension_pkg
811 # -- Loading package alu_pkg
812 # -- Compiling entity execute_stage
813 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
814 # -- Loading package standard
815 # -- Loading package std_logic_1164
816 # -- Loading package numeric_std
817 # -- Loading package common_pkg
818 # -- Loading package extension_pkg
819 # -- Loading package alu_pkg
820 # -- Compiling architecture behav of execute_stage
821 # -- Loading entity execute_stage
822 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
823 # -- Loading package standard
824 # -- Loading package std_logic_1164
825 # -- Loading package numeric_std
826 # -- Loading package common_pkg
827 # -- Compiling entity writeback_stage
828 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
829 # -- Loading package standard
830 # -- Loading package std_logic_1164
831 # -- Loading package numeric_std
832 # -- Loading package common_pkg
833 # -- Loading package extension_pkg
834 # -- Loading package core_pkg
835 # -- Loading package mem_pkg
836 # -- Loading package extension_uart_pkg
837 # -- Loading package extension_7seg_pkg
838 # -- Compiling architecture behav of writeback_stage
839 # -- Loading entity writeback_stage
840 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
841 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
842 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
843 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
844 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
845 # -- Loading package standard
846 # -- Loading package std_logic_1164
847 # -- Loading package numeric_std
848 # -- Loading package common_pkg
849 # -- Loading package extension_pkg
850 # -- Loading package core_pkg
851 # -- Compiling entity pipeline_tb
852 # -- Compiling architecture behavior of pipeline_tb
853 # -- Compiling configuration pipeline_conf_beh
854 # -- Loading entity pipeline_tb
855 # -- Loading architecture behavior of pipeline_tb
856 # -- Loading entity fetch_stage
857 # -- Loading entity decode_stage
858 # -- Loading package alu_pkg
859 # -- Loading entity execute_stage
860 # -- Loading entity writeback_stage
861 # vsim -t ns work.pipeline_conf_beh 
862 # Loading std.standard
863 # Loading ieee.std_logic_1164(body)
864 # Loading ieee.numeric_std(body)
865 # Loading work.common_pkg(body)
866 # Loading work.extension_pkg
867 # Loading work.core_pkg
868 # Loading work.alu_pkg(body)
869 # Loading work.pipeline_conf_beh
870 # Loading work.pipeline_tb(behavior)
871 # Loading work.mem_pkg
872 # Loading work.fetch_stage(behav)
873 # Loading work.rom(behaviour)
874 # Loading work.decode_stage(behav)
875 # Loading work.r2_w_ram(behaviour)
876 # Loading work.decoder(behav_d)
877 # Loading work.execute_stage(behav)
878 # Loading work.alu(behaviour)
879 # Loading work.exec_op(add_op)
880 # Loading work.exec_op(and_op)
881 # Loading work.exec_op(or_op)
882 # Loading work.exec_op(xor_op)
883 # Loading work.exec_op(shift_op)
884 # Loading work.extension_gpm(behav)
885 # Loading work.extension_uart_pkg
886 # Loading work.extension_7seg_pkg(body)
887 # Loading work.writeback_stage(behav)
888 # Loading work.r_w_ram(behaviour)
889 # Loading work.extension_uart(behav)
890 # Loading ieee.std_logic_arith(body)
891 # Loading ieee.std_logic_unsigned(body)
892 # Loading work.rs232_tx(beh)
893 # Loading work.rs232_rx(beh)
894 # Loading work.extension_7seg(behav)
895 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
896 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
897 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
898 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
899 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
900 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
901 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
902 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
903 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
904 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
905 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
906 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
907 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
908 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
909 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
910 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
911 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
912 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
913 do testcore.do
914 # ** Warning: (vlib-34) Library already exists at "work".
915 # Modifying modelsim.ini
916 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
917 # -- Loading package standard
918 # -- Loading package std_logic_1164
919 # -- Loading package numeric_std
920 # -- Compiling package mem_pkg
921 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
922 # -- Loading package standard
923 # -- Loading package std_logic_1164
924 # -- Loading package numeric_std
925 # -- Compiling entity r_w_ram
926 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
927 # -- Loading package standard
928 # -- Loading package std_logic_1164
929 # -- Loading package numeric_std
930 # -- Loading package mem_pkg
931 # -- Compiling architecture behaviour of r_w_ram
932 # -- Loading entity r_w_ram
933 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
934 # -- Loading package standard
935 # -- Loading package std_logic_1164
936 # -- Loading package numeric_std
937 # -- Loading package mem_pkg
938 # -- Compiling entity r2_w_ram
939 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
940 # -- Loading package standard
941 # -- Loading package std_logic_1164
942 # -- Loading package numeric_std
943 # -- Loading package mem_pkg
944 # -- Compiling architecture behaviour of r2_w_ram
945 # -- Loading entity r2_w_ram
946 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
947 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
948 # -- Loading package standard
949 # -- Loading package std_logic_1164
950 # -- Loading package numeric_std
951 # -- Compiling entity rom
952 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
953 # -- Loading package standard
954 # -- Loading package std_logic_1164
955 # -- Loading package numeric_std
956 # -- Loading package mem_pkg
957 # -- Compiling architecture behaviour of rom
958 # -- Loading entity rom
959 # ** Error: ../src/rom_b.vhd(94): near "1": expecting "WHEN"
960 # ** Error: ../src/rom_b.vhd(94): (vcom-1136) Unknown identifier "ed000000".
961 # ** Error: ../src/rom_b.vhd(94): near "r0": expecting "<=" or ":="
962 # ** Warning: [4] ../src/rom_b.vhd(94): (vcom-1207) An abstract literal and an identifier must have a separator between them.
963 # ** Error: ../src/rom_b.vhd(95): (vcom-1136) Unknown identifier "ed0d5e68".
964 # ** Error: ../src/rom_b.vhd(95): near "r1": expecting "<=" or ":="
965 # ** Warning: [4] ../src/rom_b.vhd(95): (vcom-1207) An abstract literal and an identifier must have a separator between them.
966 # ** Error: ../src/rom_b.vhd(96): (vcom-1136) Unknown identifier "e9880000".
967 # ** Error: ../src/rom_b.vhd(96): near "r1": expecting "<=" or ":="
968 # ** Warning: [4] ../src/rom_b.vhd(97): (vcom-1207) An abstract literal and an identifier must have a separator between them.
969 # ** Error: ../src/rom_b.vhd(97): (vcom-1136) Unknown identifier "e9100000".
970 # ** Error: ../src/rom_b.vhd(97): near "r2": expecting "<=" or ":="
971 # ** Error: ../src/rom_b.vhd(98): (vcom-1136) Unknown identifier "e9180001".
972 # ** Error: ../src/rom_b.vhd(98): near "r3": expecting "<=" or ":="
973 # ** Error: ../src/rom_b.vhd(99): (vcom-1136) Unknown identifier "ed190080".
974 # ** Error: ../src/rom_b.vhd(99): near "r3": expecting "<=" or ":="
975 # ** Warning: [4] ../src/rom_b.vhd(99): (vcom-1207) An abstract literal and an identifier must have a separator between them.
976 # ** Error: ../src/rom_b.vhd(100): (vcom-1136) Unknown identifier "e7200000".
977 # ** Error: ../src/rom_b.vhd(100): near "r4": expecting "<=" or ":="
978 # ** Warning: [4] ../src/rom_b.vhd(101): (vcom-1207) An abstract literal and an identifier must have a separator between them.
979 # ** Error: ../src/rom_b.vhd(101): (vcom-1136) Unknown identifier "e7a18000".
980 # ** Error: ../src/rom_b.vhd(101): near "r4": expecting "<=" or ":="
981 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
982 # Error in macro ./testcore.do line 10
983 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
984 #     while executing
985 # "vcom -work work ../src/rom_b.vhd"
986 do testcore.do
987 # ** Warning: (vlib-34) Library already exists at "work".
988 # Modifying modelsim.ini
989 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
990 # -- Loading package standard
991 # -- Loading package std_logic_1164
992 # -- Loading package numeric_std
993 # -- Compiling package mem_pkg
994 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
995 # -- Loading package standard
996 # -- Loading package std_logic_1164
997 # -- Loading package numeric_std
998 # -- Compiling entity r_w_ram
999 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1000 # -- Loading package standard
1001 # -- Loading package std_logic_1164
1002 # -- Loading package numeric_std
1003 # -- Loading package mem_pkg
1004 # -- Compiling architecture behaviour of r_w_ram
1005 # -- Loading entity r_w_ram
1006 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1007 # -- Loading package standard
1008 # -- Loading package std_logic_1164
1009 # -- Loading package numeric_std
1010 # -- Loading package mem_pkg
1011 # -- Compiling entity r2_w_ram
1012 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1013 # -- Loading package standard
1014 # -- Loading package std_logic_1164
1015 # -- Loading package numeric_std
1016 # -- Loading package mem_pkg
1017 # -- Compiling architecture behaviour of r2_w_ram
1018 # -- Loading entity r2_w_ram
1019 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1020 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1021 # -- Loading package standard
1022 # -- Loading package std_logic_1164
1023 # -- Loading package numeric_std
1024 # -- Compiling entity rom
1025 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1026 # -- Loading package standard
1027 # -- Loading package std_logic_1164
1028 # -- Loading package numeric_std
1029 # -- Loading package mem_pkg
1030 # -- Compiling architecture behaviour of rom
1031 # -- Loading entity rom
1032 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1033 # -- Loading package standard
1034 # -- Loading package std_logic_1164
1035 # -- Loading package numeric_std
1036 # -- Compiling package common_pkg
1037 # -- Compiling package body common_pkg
1038 # -- Loading package common_pkg
1039 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1040 # -- Loading package standard
1041 # -- Loading package std_logic_1164
1042 # -- Loading package numeric_std
1043 # -- Loading package common_pkg
1044 # -- Compiling package extension_pkg
1045 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1046 # -- Loading package standard
1047 # -- Loading package std_logic_1164
1048 # -- Loading package numeric_std
1049 # -- Loading package common_pkg
1050 # -- Loading package extension_pkg
1051 # -- Compiling package core_pkg
1052 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1053 # -- Loading package standard
1054 # -- Loading package std_logic_1164
1055 # -- Loading package numeric_std
1056 # -- Loading package common_pkg
1057 # -- Loading package extension_pkg
1058 # -- Compiling package extension_uart_pkg
1059 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1060 # -- Loading package standard
1061 # -- Loading package std_logic_1164
1062 # -- Loading package numeric_std
1063 # -- Loading package common_pkg
1064 # -- Loading package extension_pkg
1065 # -- Loading package extension_uart_pkg
1066 # -- Compiling entity extension_uart
1067 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1068 # -- Loading package standard
1069 # -- Loading package std_logic_1164
1070 # -- Loading package numeric_std
1071 # -- Loading package common_pkg
1072 # -- Loading package extension_pkg
1073 # -- Loading package core_pkg
1074 # -- Loading package mem_pkg
1075 # -- Loading package extension_uart_pkg
1076 # -- Compiling architecture behav of extension_uart
1077 # -- Loading entity extension_uart
1078 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1079 # -- Loading package standard
1080 # -- Loading package std_logic_1164
1081 # -- Loading package numeric_std
1082 # -- Loading package common_pkg
1083 # -- Loading package extension_pkg
1084 # -- Compiling package extension_7seg_pkg
1085 # -- Compiling package body extension_7seg_pkg
1086 # -- Loading package extension_7seg_pkg
1087 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1088 # -- Loading package standard
1089 # -- Loading package std_logic_1164
1090 # -- Loading package numeric_std
1091 # -- Loading package common_pkg
1092 # -- Loading package extension_pkg
1093 # -- Loading package extension_7seg_pkg
1094 # -- Compiling entity extension_7seg
1095 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1096 # -- Loading package standard
1097 # -- Loading package std_logic_1164
1098 # -- Loading package numeric_std
1099 # -- Loading package common_pkg
1100 # -- Loading package extension_pkg
1101 # -- Loading package core_pkg
1102 # -- Loading package mem_pkg
1103 # -- Loading package extension_7seg_pkg
1104 # -- Compiling architecture behav of extension_7seg
1105 # -- Loading entity extension_7seg
1106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1107 # -- Loading package standard
1108 # -- Loading package std_logic_1164
1109 # -- Loading package std_logic_arith
1110 # -- Loading package std_logic_unsigned
1111 # -- Loading package numeric_std
1112 # -- Loading package common_pkg
1113 # -- Loading package extension_pkg
1114 # -- Loading package core_pkg
1115 # -- Loading package extension_uart_pkg
1116 # -- Compiling entity rs232_tx
1117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1118 # -- Loading package standard
1119 # -- Loading package std_logic_1164
1120 # -- Loading package numeric_std
1121 # -- Loading package common_pkg
1122 # -- Loading package extension_pkg
1123 # -- Loading package core_pkg
1124 # -- Loading package extension_uart_pkg
1125 # -- Compiling architecture beh of rs232_tx
1126 # -- Loading package std_logic_arith
1127 # -- Loading package std_logic_unsigned
1128 # -- Loading entity rs232_tx
1129 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1130 # -- Loading package standard
1131 # -- Loading package std_logic_1164
1132 # -- Loading package std_logic_arith
1133 # -- Loading package std_logic_unsigned
1134 # -- Loading package numeric_std
1135 # -- Loading package common_pkg
1136 # -- Loading package extension_pkg
1137 # -- Loading package core_pkg
1138 # -- Loading package extension_uart_pkg
1139 # -- Compiling entity rs232_rx
1140 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1141 # -- Loading package standard
1142 # -- Loading package std_logic_1164
1143 # -- Loading package numeric_std
1144 # -- Loading package common_pkg
1145 # -- Loading package extension_pkg
1146 # -- Loading package extension_uart_pkg
1147 # -- Loading package core_pkg
1148 # -- Compiling architecture beh of rs232_rx
1149 # -- Loading package std_logic_arith
1150 # -- Loading package std_logic_unsigned
1151 # -- Loading entity rs232_rx
1152 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1153 # -- Loading package standard
1154 # -- Loading package std_logic_1164
1155 # -- Loading package numeric_std
1156 # -- Loading package common_pkg
1157 # -- Loading package extension_pkg
1158 # -- Loading package core_pkg
1159 # -- Compiling entity decoder
1160 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1161 # -- Loading package standard
1162 # -- Loading package std_logic_1164
1163 # -- Loading package numeric_std
1164 # -- Loading package mem_pkg
1165 # -- Loading package common_pkg
1166 # -- Loading package extension_pkg
1167 # -- Loading package core_pkg
1168 # -- Compiling architecture behav_d of decoder
1169 # -- Loading entity decoder
1170 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1171 # -- Loading package standard
1172 # -- Loading package std_logic_1164
1173 # -- Loading package numeric_std
1174 # -- Loading package common_pkg
1175 # -- Loading package extension_pkg
1176 # -- Loading package core_pkg
1177 # -- Compiling entity fetch_stage
1178 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1179 # -- Loading package standard
1180 # -- Loading package std_logic_1164
1181 # -- Loading package numeric_std
1182 # -- Loading package common_pkg
1183 # -- Loading package extension_pkg
1184 # -- Loading package core_pkg
1185 # -- Loading package mem_pkg
1186 # -- Compiling architecture behav of fetch_stage
1187 # -- Loading entity fetch_stage
1188 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1189 # -- Loading package standard
1190 # -- Loading package std_logic_1164
1191 # -- Loading package numeric_std
1192 # -- Loading package common_pkg
1193 # -- Loading package extension_pkg
1194 # -- Loading package core_pkg
1195 # -- Compiling entity decode_stage
1196 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1197 # -- Loading package standard
1198 # -- Loading package std_logic_1164
1199 # -- Loading package numeric_std
1200 # -- Loading package mem_pkg
1201 # -- Loading package common_pkg
1202 # -- Loading package extension_pkg
1203 # -- Loading package core_pkg
1204 # -- Compiling architecture behav of decode_stage
1205 # -- Loading entity decode_stage
1206 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1207 # -- Loading package standard
1208 # -- Loading package std_logic_1164
1209 # -- Loading package numeric_std
1210 # -- Loading package common_pkg
1211 # -- Loading package extension_pkg
1212 # -- Compiling package alu_pkg
1213 # -- Compiling package body alu_pkg
1214 # -- Loading package alu_pkg
1215 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1216 # -- Loading package standard
1217 # -- Loading package std_logic_1164
1218 # -- Loading package numeric_std
1219 # -- Loading package common_pkg
1220 # -- Compiling package extension_pkg
1221 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1222 # -- Loading package standard
1223 # -- Loading package std_logic_1164
1224 # -- Loading package numeric_std
1225 # -- Loading package common_pkg
1226 # -- Loading package extension_pkg
1227 # -- Loading package alu_pkg
1228 # -- Compiling entity exec_op
1229 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1230 # -- Loading package standard
1231 # -- Loading package std_logic_1164
1232 # -- Loading package numeric_std
1233 # -- Loading package common_pkg
1234 # -- Loading package extension_pkg
1235 # -- Loading package alu_pkg
1236 # -- Compiling architecture add_op of exec_op
1237 # -- Loading entity exec_op
1238 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1239 # -- Loading package standard
1240 # -- Loading package std_logic_1164
1241 # -- Loading package numeric_std
1242 # -- Loading package common_pkg
1243 # -- Loading package extension_pkg
1244 # -- Loading package alu_pkg
1245 # -- Compiling architecture and_op of exec_op
1246 # -- Loading entity exec_op
1247 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1248 # -- Loading package standard
1249 # -- Loading package std_logic_1164
1250 # -- Loading package numeric_std
1251 # -- Loading package common_pkg
1252 # -- Loading package extension_pkg
1253 # -- Loading package alu_pkg
1254 # -- Compiling architecture or_op of exec_op
1255 # -- Loading entity exec_op
1256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1257 # -- Loading package standard
1258 # -- Loading package std_logic_1164
1259 # -- Loading package numeric_std
1260 # -- Loading package common_pkg
1261 # -- Loading package extension_pkg
1262 # -- Loading package alu_pkg
1263 # -- Compiling architecture xor_op of exec_op
1264 # -- Loading entity exec_op
1265 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1266 # -- Loading package standard
1267 # -- Loading package std_logic_1164
1268 # -- Loading package numeric_std
1269 # -- Loading package common_pkg
1270 # -- Loading package extension_pkg
1271 # -- Loading package alu_pkg
1272 # -- Compiling architecture shift_op of exec_op
1273 # -- Loading entity exec_op
1274 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1275 # -- Loading package standard
1276 # -- Loading package std_logic_1164
1277 # -- Loading package numeric_std
1278 # -- Loading package common_pkg
1279 # -- Loading package extension_pkg
1280 # -- Loading package alu_pkg
1281 # -- Compiling entity alu
1282 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1283 # -- Loading package standard
1284 # -- Loading package std_logic_1164
1285 # -- Loading package numeric_std
1286 # -- Loading package common_pkg
1287 # -- Loading package extension_pkg
1288 # -- Loading package alu_pkg
1289 # -- Compiling architecture behaviour of alu
1290 # -- Loading entity alu
1291 # -- Loading entity exec_op
1292 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1293 # -- Loading package standard
1294 # -- Loading package std_logic_1164
1295 # -- Loading package numeric_std
1296 # -- Loading package common_pkg
1297 # -- Compiling package extension_pkg
1298 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1299 # -- Loading package standard
1300 # -- Loading package std_logic_1164
1301 # -- Loading package numeric_std
1302 # -- Loading package common_pkg
1303 # -- Loading package extension_pkg
1304 # -- Compiling entity extension_gpm
1305 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1306 # -- Loading package standard
1307 # -- Loading package std_logic_1164
1308 # -- Loading package numeric_std
1309 # -- Loading package common_pkg
1310 # -- Loading package extension_pkg
1311 # -- Loading package core_pkg
1312 # -- Loading package mem_pkg
1313 # -- Compiling architecture behav of extension_gpm
1314 # -- Loading entity extension_gpm
1315 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1316 # -- Loading package standard
1317 # -- Loading package std_logic_1164
1318 # -- Loading package numeric_std
1319 # -- Loading package common_pkg
1320 # -- Loading package extension_pkg
1321 # -- Loading package alu_pkg
1322 # -- Compiling entity execute_stage
1323 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1324 # -- Loading package standard
1325 # -- Loading package std_logic_1164
1326 # -- Loading package numeric_std
1327 # -- Loading package common_pkg
1328 # -- Loading package extension_pkg
1329 # -- Loading package alu_pkg
1330 # -- Compiling architecture behav of execute_stage
1331 # -- Loading entity execute_stage
1332 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1333 # -- Loading package standard
1334 # -- Loading package std_logic_1164
1335 # -- Loading package numeric_std
1336 # -- Loading package common_pkg
1337 # -- Compiling entity writeback_stage
1338 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1339 # -- Loading package standard
1340 # -- Loading package std_logic_1164
1341 # -- Loading package numeric_std
1342 # -- Loading package common_pkg
1343 # -- Loading package extension_pkg
1344 # -- Loading package core_pkg
1345 # -- Loading package mem_pkg
1346 # -- Loading package extension_uart_pkg
1347 # -- Loading package extension_7seg_pkg
1348 # -- Compiling architecture behav of writeback_stage
1349 # -- Loading entity writeback_stage
1350 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
1351 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
1352 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
1353 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
1354 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1355 # -- Loading package standard
1356 # -- Loading package std_logic_1164
1357 # -- Loading package numeric_std
1358 # -- Loading package common_pkg
1359 # -- Loading package extension_pkg
1360 # -- Loading package core_pkg
1361 # -- Compiling entity pipeline_tb
1362 # -- Compiling architecture behavior of pipeline_tb
1363 # -- Compiling configuration pipeline_conf_beh
1364 # -- Loading entity pipeline_tb
1365 # -- Loading architecture behavior of pipeline_tb
1366 # -- Loading entity fetch_stage
1367 # -- Loading entity decode_stage
1368 # -- Loading package alu_pkg
1369 # -- Loading entity execute_stage
1370 # -- Loading entity writeback_stage
1371 # vsim -t ns work.pipeline_conf_beh 
1372 # Loading std.standard
1373 # Loading ieee.std_logic_1164(body)
1374 # Loading ieee.numeric_std(body)
1375 # Loading work.common_pkg(body)
1376 # Loading work.extension_pkg
1377 # Loading work.core_pkg
1378 # Loading work.alu_pkg(body)
1379 # Loading work.pipeline_conf_beh
1380 # Loading work.pipeline_tb(behavior)
1381 # Loading work.mem_pkg
1382 # Loading work.fetch_stage(behav)
1383 # Loading work.rom(behaviour)
1384 # Loading work.decode_stage(behav)
1385 # Loading work.r2_w_ram(behaviour)
1386 # Loading work.decoder(behav_d)
1387 # Loading work.execute_stage(behav)
1388 # Loading work.alu(behaviour)
1389 # Loading work.exec_op(add_op)
1390 # Loading work.exec_op(and_op)
1391 # Loading work.exec_op(or_op)
1392 # Loading work.exec_op(xor_op)
1393 # Loading work.exec_op(shift_op)
1394 # Loading work.extension_gpm(behav)
1395 # Loading work.extension_uart_pkg
1396 # Loading work.extension_7seg_pkg(body)
1397 # Loading work.writeback_stage(behav)
1398 # Loading work.r_w_ram(behaviour)
1399 # Loading work.extension_uart(behav)
1400 # Loading ieee.std_logic_arith(body)
1401 # Loading ieee.std_logic_unsigned(body)
1402 # Loading work.rs232_tx(beh)
1403 # Loading work.rs232_rx(beh)
1404 # Loading work.extension_7seg(behav)
1405 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1406 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1407 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1408 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1409 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1410 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1411 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1412 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1413 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1414 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1415 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1416 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1417 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1418 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1419 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1420 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1421 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1422 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1423 do testcore.do
1424 # ** Warning: (vlib-34) Library already exists at "work".
1425 # Modifying modelsim.ini
1426 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1427 # -- Loading package standard
1428 # -- Loading package std_logic_1164
1429 # -- Loading package numeric_std
1430 # -- Compiling package mem_pkg
1431 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1432 # -- Loading package standard
1433 # -- Loading package std_logic_1164
1434 # -- Loading package numeric_std
1435 # -- Compiling entity r_w_ram
1436 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1437 # -- Loading package standard
1438 # -- Loading package std_logic_1164
1439 # -- Loading package numeric_std
1440 # -- Loading package mem_pkg
1441 # -- Compiling architecture behaviour of r_w_ram
1442 # -- Loading entity r_w_ram
1443 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1444 # -- Loading package standard
1445 # -- Loading package std_logic_1164
1446 # -- Loading package numeric_std
1447 # -- Loading package mem_pkg
1448 # -- Compiling entity r2_w_ram
1449 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1450 # -- Loading package standard
1451 # -- Loading package std_logic_1164
1452 # -- Loading package numeric_std
1453 # -- Loading package mem_pkg
1454 # -- Compiling architecture behaviour of r2_w_ram
1455 # -- Loading entity r2_w_ram
1456 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1457 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1458 # -- Loading package standard
1459 # -- Loading package std_logic_1164
1460 # -- Loading package numeric_std
1461 # -- Compiling entity rom
1462 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1463 # -- Loading package standard
1464 # -- Loading package std_logic_1164
1465 # -- Loading package numeric_std
1466 # -- Loading package mem_pkg
1467 # -- Compiling architecture behaviour of rom
1468 # -- Loading entity rom
1469 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1470 # -- Loading package standard
1471 # -- Loading package std_logic_1164
1472 # -- Loading package numeric_std
1473 # -- Compiling package common_pkg
1474 # -- Compiling package body common_pkg
1475 # -- Loading package common_pkg
1476 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1477 # -- Loading package standard
1478 # -- Loading package std_logic_1164
1479 # -- Loading package numeric_std
1480 # -- Loading package common_pkg
1481 # -- Compiling package extension_pkg
1482 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1483 # -- Loading package standard
1484 # -- Loading package std_logic_1164
1485 # -- Loading package numeric_std
1486 # -- Loading package common_pkg
1487 # -- Loading package extension_pkg
1488 # -- Compiling package core_pkg
1489 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1490 # -- Loading package standard
1491 # -- Loading package std_logic_1164
1492 # -- Loading package numeric_std
1493 # -- Loading package common_pkg
1494 # -- Loading package extension_pkg
1495 # -- Compiling package extension_uart_pkg
1496 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1497 # -- Loading package standard
1498 # -- Loading package std_logic_1164
1499 # -- Loading package numeric_std
1500 # -- Loading package common_pkg
1501 # -- Loading package extension_pkg
1502 # -- Loading package extension_uart_pkg
1503 # -- Compiling entity extension_uart
1504 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1505 # -- Loading package standard
1506 # -- Loading package std_logic_1164
1507 # -- Loading package numeric_std
1508 # -- Loading package common_pkg
1509 # -- Loading package extension_pkg
1510 # -- Loading package core_pkg
1511 # -- Loading package mem_pkg
1512 # -- Loading package extension_uart_pkg
1513 # -- Compiling architecture behav of extension_uart
1514 # -- Loading entity extension_uart
1515 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1516 # -- Loading package standard
1517 # -- Loading package std_logic_1164
1518 # -- Loading package numeric_std
1519 # -- Loading package common_pkg
1520 # -- Loading package extension_pkg
1521 # -- Compiling package extension_7seg_pkg
1522 # -- Compiling package body extension_7seg_pkg
1523 # -- Loading package extension_7seg_pkg
1524 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1525 # -- Loading package standard
1526 # -- Loading package std_logic_1164
1527 # -- Loading package numeric_std
1528 # -- Loading package common_pkg
1529 # -- Loading package extension_pkg
1530 # -- Loading package extension_7seg_pkg
1531 # -- Compiling entity extension_7seg
1532 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1533 # -- Loading package standard
1534 # -- Loading package std_logic_1164
1535 # -- Loading package numeric_std
1536 # -- Loading package common_pkg
1537 # -- Loading package extension_pkg
1538 # -- Loading package core_pkg
1539 # -- Loading package mem_pkg
1540 # -- Loading package extension_7seg_pkg
1541 # -- Compiling architecture behav of extension_7seg
1542 # -- Loading entity extension_7seg
1543 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1544 # -- Loading package standard
1545 # -- Loading package std_logic_1164
1546 # -- Loading package std_logic_arith
1547 # -- Loading package std_logic_unsigned
1548 # -- Loading package numeric_std
1549 # -- Loading package common_pkg
1550 # -- Loading package extension_pkg
1551 # -- Loading package core_pkg
1552 # -- Loading package extension_uart_pkg
1553 # -- Compiling entity rs232_tx
1554 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1555 # -- Loading package standard
1556 # -- Loading package std_logic_1164
1557 # -- Loading package numeric_std
1558 # -- Loading package common_pkg
1559 # -- Loading package extension_pkg
1560 # -- Loading package core_pkg
1561 # -- Loading package extension_uart_pkg
1562 # -- Compiling architecture beh of rs232_tx
1563 # -- Loading package std_logic_arith
1564 # -- Loading package std_logic_unsigned
1565 # -- Loading entity rs232_tx
1566 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1567 # -- Loading package standard
1568 # -- Loading package std_logic_1164
1569 # -- Loading package std_logic_arith
1570 # -- Loading package std_logic_unsigned
1571 # -- Loading package numeric_std
1572 # -- Loading package common_pkg
1573 # -- Loading package extension_pkg
1574 # -- Loading package core_pkg
1575 # -- Loading package extension_uart_pkg
1576 # -- Compiling entity rs232_rx
1577 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1578 # -- Loading package standard
1579 # -- Loading package std_logic_1164
1580 # -- Loading package numeric_std
1581 # -- Loading package common_pkg
1582 # -- Loading package extension_pkg
1583 # -- Loading package extension_uart_pkg
1584 # -- Loading package core_pkg
1585 # -- Compiling architecture beh of rs232_rx
1586 # -- Loading package std_logic_arith
1587 # -- Loading package std_logic_unsigned
1588 # -- Loading entity rs232_rx
1589 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1590 # -- Loading package standard
1591 # -- Loading package std_logic_1164
1592 # -- Loading package numeric_std
1593 # -- Loading package common_pkg
1594 # -- Loading package extension_pkg
1595 # -- Loading package core_pkg
1596 # -- Compiling entity decoder
1597 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1598 # -- Loading package standard
1599 # -- Loading package std_logic_1164
1600 # -- Loading package numeric_std
1601 # -- Loading package mem_pkg
1602 # -- Loading package common_pkg
1603 # -- Loading package extension_pkg
1604 # -- Loading package core_pkg
1605 # -- Compiling architecture behav_d of decoder
1606 # -- Loading entity decoder
1607 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1608 # -- Loading package standard
1609 # -- Loading package std_logic_1164
1610 # -- Loading package numeric_std
1611 # -- Loading package common_pkg
1612 # -- Loading package extension_pkg
1613 # -- Loading package core_pkg
1614 # -- Compiling entity fetch_stage
1615 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1616 # -- Loading package standard
1617 # -- Loading package std_logic_1164
1618 # -- Loading package numeric_std
1619 # -- Loading package common_pkg
1620 # -- Loading package extension_pkg
1621 # -- Loading package core_pkg
1622 # -- Loading package mem_pkg
1623 # -- Compiling architecture behav of fetch_stage
1624 # -- Loading entity fetch_stage
1625 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1626 # -- Loading package standard
1627 # -- Loading package std_logic_1164
1628 # -- Loading package numeric_std
1629 # -- Loading package common_pkg
1630 # -- Loading package extension_pkg
1631 # -- Loading package core_pkg
1632 # -- Compiling entity decode_stage
1633 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1634 # -- Loading package standard
1635 # -- Loading package std_logic_1164
1636 # -- Loading package numeric_std
1637 # -- Loading package mem_pkg
1638 # -- Loading package common_pkg
1639 # -- Loading package extension_pkg
1640 # -- Loading package core_pkg
1641 # -- Compiling architecture behav of decode_stage
1642 # -- Loading entity decode_stage
1643 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1644 # -- Loading package standard
1645 # -- Loading package std_logic_1164
1646 # -- Loading package numeric_std
1647 # -- Loading package common_pkg
1648 # -- Loading package extension_pkg
1649 # -- Compiling package alu_pkg
1650 # -- Compiling package body alu_pkg
1651 # -- Loading package alu_pkg
1652 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1653 # -- Loading package standard
1654 # -- Loading package std_logic_1164
1655 # -- Loading package numeric_std
1656 # -- Loading package common_pkg
1657 # -- Compiling package extension_pkg
1658 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1659 # -- Loading package standard
1660 # -- Loading package std_logic_1164
1661 # -- Loading package numeric_std
1662 # -- Loading package common_pkg
1663 # -- Loading package extension_pkg
1664 # -- Loading package alu_pkg
1665 # -- Compiling entity exec_op
1666 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1667 # -- Loading package standard
1668 # -- Loading package std_logic_1164
1669 # -- Loading package numeric_std
1670 # -- Loading package common_pkg
1671 # -- Loading package extension_pkg
1672 # -- Loading package alu_pkg
1673 # -- Compiling architecture add_op of exec_op
1674 # -- Loading entity exec_op
1675 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1676 # -- Loading package standard
1677 # -- Loading package std_logic_1164
1678 # -- Loading package numeric_std
1679 # -- Loading package common_pkg
1680 # -- Loading package extension_pkg
1681 # -- Loading package alu_pkg
1682 # -- Compiling architecture and_op of exec_op
1683 # -- Loading entity exec_op
1684 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1685 # -- Loading package standard
1686 # -- Loading package std_logic_1164
1687 # -- Loading package numeric_std
1688 # -- Loading package common_pkg
1689 # -- Loading package extension_pkg
1690 # -- Loading package alu_pkg
1691 # -- Compiling architecture or_op of exec_op
1692 # -- Loading entity exec_op
1693 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1694 # -- Loading package standard
1695 # -- Loading package std_logic_1164
1696 # -- Loading package numeric_std
1697 # -- Loading package common_pkg
1698 # -- Loading package extension_pkg
1699 # -- Loading package alu_pkg
1700 # -- Compiling architecture xor_op of exec_op
1701 # -- Loading entity exec_op
1702 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1703 # -- Loading package standard
1704 # -- Loading package std_logic_1164
1705 # -- Loading package numeric_std
1706 # -- Loading package common_pkg
1707 # -- Loading package extension_pkg
1708 # -- Loading package alu_pkg
1709 # -- Compiling architecture shift_op of exec_op
1710 # -- Loading entity exec_op
1711 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1712 # -- Loading package standard
1713 # -- Loading package std_logic_1164
1714 # -- Loading package numeric_std
1715 # -- Loading package common_pkg
1716 # -- Loading package extension_pkg
1717 # -- Loading package alu_pkg
1718 # -- Compiling entity alu
1719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1720 # -- Loading package standard
1721 # -- Loading package std_logic_1164
1722 # -- Loading package numeric_std
1723 # -- Loading package common_pkg
1724 # -- Loading package extension_pkg
1725 # -- Loading package alu_pkg
1726 # -- Compiling architecture behaviour of alu
1727 # -- Loading entity alu
1728 # -- Loading entity exec_op
1729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1730 # -- Loading package standard
1731 # -- Loading package std_logic_1164
1732 # -- Loading package numeric_std
1733 # -- Loading package common_pkg
1734 # -- Compiling package extension_pkg
1735 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1736 # -- Loading package standard
1737 # -- Loading package std_logic_1164
1738 # -- Loading package numeric_std
1739 # -- Loading package common_pkg
1740 # -- Loading package extension_pkg
1741 # -- Compiling entity extension_gpm
1742 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1743 # -- Loading package standard
1744 # -- Loading package std_logic_1164
1745 # -- Loading package numeric_std
1746 # -- Loading package common_pkg
1747 # -- Loading package extension_pkg
1748 # -- Loading package core_pkg
1749 # -- Loading package mem_pkg
1750 # -- Compiling architecture behav of extension_gpm
1751 # -- Loading entity extension_gpm
1752 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1753 # -- Loading package standard
1754 # -- Loading package std_logic_1164
1755 # -- Loading package numeric_std
1756 # -- Loading package common_pkg
1757 # -- Loading package extension_pkg
1758 # -- Loading package alu_pkg
1759 # -- Compiling entity execute_stage
1760 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1761 # -- Loading package standard
1762 # -- Loading package std_logic_1164
1763 # -- Loading package numeric_std
1764 # -- Loading package common_pkg
1765 # -- Loading package extension_pkg
1766 # -- Loading package alu_pkg
1767 # -- Compiling architecture behav of execute_stage
1768 # -- Loading entity execute_stage
1769 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1770 # -- Loading package standard
1771 # -- Loading package std_logic_1164
1772 # -- Loading package numeric_std
1773 # -- Loading package common_pkg
1774 # -- Compiling entity writeback_stage
1775 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1776 # -- Loading package standard
1777 # -- Loading package std_logic_1164
1778 # -- Loading package numeric_std
1779 # -- Loading package common_pkg
1780 # -- Loading package extension_pkg
1781 # -- Loading package core_pkg
1782 # -- Loading package mem_pkg
1783 # -- Loading package extension_uart_pkg
1784 # -- Loading package extension_7seg_pkg
1785 # -- Compiling architecture behav of writeback_stage
1786 # -- Loading entity writeback_stage
1787 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
1788 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
1789 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
1790 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
1791 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1792 # -- Loading package standard
1793 # -- Loading package std_logic_1164
1794 # -- Loading package numeric_std
1795 # -- Loading package common_pkg
1796 # -- Loading package extension_pkg
1797 # -- Loading package core_pkg
1798 # -- Compiling entity pipeline_tb
1799 # -- Compiling architecture behavior of pipeline_tb
1800 # -- Compiling configuration pipeline_conf_beh
1801 # -- Loading entity pipeline_tb
1802 # -- Loading architecture behavior of pipeline_tb
1803 # -- Loading entity fetch_stage
1804 # -- Loading entity decode_stage
1805 # -- Loading package alu_pkg
1806 # -- Loading entity execute_stage
1807 # -- Loading entity writeback_stage
1808 # vsim -t ns work.pipeline_conf_beh 
1809 # Loading std.standard
1810 # Loading ieee.std_logic_1164(body)
1811 # Loading ieee.numeric_std(body)
1812 # Loading work.common_pkg(body)
1813 # Loading work.extension_pkg
1814 # Loading work.core_pkg
1815 # Loading work.alu_pkg(body)
1816 # Loading work.pipeline_conf_beh
1817 # Loading work.pipeline_tb(behavior)
1818 # Loading work.mem_pkg
1819 # Loading work.fetch_stage(behav)
1820 # Loading work.rom(behaviour)
1821 # Loading work.decode_stage(behav)
1822 # Loading work.r2_w_ram(behaviour)
1823 # Loading work.decoder(behav_d)
1824 # Loading work.execute_stage(behav)
1825 # Loading work.alu(behaviour)
1826 # Loading work.exec_op(add_op)
1827 # Loading work.exec_op(and_op)
1828 # Loading work.exec_op(or_op)
1829 # Loading work.exec_op(xor_op)
1830 # Loading work.exec_op(shift_op)
1831 # Loading work.extension_gpm(behav)
1832 # Loading work.extension_uart_pkg
1833 # Loading work.extension_7seg_pkg(body)
1834 # Loading work.writeback_stage(behav)
1835 # Loading work.r_w_ram(behaviour)
1836 # Loading work.extension_uart(behav)
1837 # Loading ieee.std_logic_arith(body)
1838 # Loading ieee.std_logic_unsigned(body)
1839 # Loading work.rs232_tx(beh)
1840 # Loading work.rs232_rx(beh)
1841 # Loading work.extension_7seg(behav)
1842 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1843 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1844 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1845 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1846 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1847 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1848 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1849 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1850 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1851 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1852 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1853 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1854 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1855 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1856 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1857 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1858 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1859 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1860 do testcore.do
1861 # ** Warning: (vlib-34) Library already exists at "work".
1862 # Modifying modelsim.ini
1863 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1864 # -- Loading package standard
1865 # -- Loading package std_logic_1164
1866 # -- Loading package numeric_std
1867 # -- Compiling package mem_pkg
1868 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1869 # -- Loading package standard
1870 # -- Loading package std_logic_1164
1871 # -- Loading package numeric_std
1872 # -- Compiling entity r_w_ram
1873 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1874 # -- Loading package standard
1875 # -- Loading package std_logic_1164
1876 # -- Loading package numeric_std
1877 # -- Loading package mem_pkg
1878 # -- Compiling architecture behaviour of r_w_ram
1879 # -- Loading entity r_w_ram
1880 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1881 # -- Loading package standard
1882 # -- Loading package std_logic_1164
1883 # -- Loading package numeric_std
1884 # -- Loading package mem_pkg
1885 # -- Compiling entity r2_w_ram
1886 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1887 # -- Loading package standard
1888 # -- Loading package std_logic_1164
1889 # -- Loading package numeric_std
1890 # -- Loading package mem_pkg
1891 # -- Compiling architecture behaviour of r2_w_ram
1892 # -- Loading entity r2_w_ram
1893 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1894 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1895 # -- Loading package standard
1896 # -- Loading package std_logic_1164
1897 # -- Loading package numeric_std
1898 # -- Compiling entity rom
1899 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1900 # -- Loading package standard
1901 # -- Loading package std_logic_1164
1902 # -- Loading package numeric_std
1903 # -- Loading package mem_pkg
1904 # -- Compiling architecture behaviour of rom
1905 # -- Loading entity rom
1906 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1907 # -- Loading package standard
1908 # -- Loading package std_logic_1164
1909 # -- Loading package numeric_std
1910 # -- Compiling package common_pkg
1911 # -- Compiling package body common_pkg
1912 # -- Loading package common_pkg
1913 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1914 # -- Loading package standard
1915 # -- Loading package std_logic_1164
1916 # -- Loading package numeric_std
1917 # -- Loading package common_pkg
1918 # -- Compiling package extension_pkg
1919 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1920 # -- Loading package standard
1921 # -- Loading package std_logic_1164
1922 # -- Loading package numeric_std
1923 # -- Loading package common_pkg
1924 # -- Loading package extension_pkg
1925 # -- Compiling package core_pkg
1926 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1927 # -- Loading package standard
1928 # -- Loading package std_logic_1164
1929 # -- Loading package numeric_std
1930 # -- Loading package common_pkg
1931 # -- Loading package extension_pkg
1932 # -- Compiling package extension_uart_pkg
1933 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1934 # -- Loading package standard
1935 # -- Loading package std_logic_1164
1936 # -- Loading package numeric_std
1937 # -- Loading package common_pkg
1938 # -- Loading package extension_pkg
1939 # -- Loading package extension_uart_pkg
1940 # -- Compiling entity extension_uart
1941 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1942 # -- Loading package standard
1943 # -- Loading package std_logic_1164
1944 # -- Loading package numeric_std
1945 # -- Loading package common_pkg
1946 # -- Loading package extension_pkg
1947 # -- Loading package core_pkg
1948 # -- Loading package mem_pkg
1949 # -- Loading package extension_uart_pkg
1950 # -- Compiling architecture behav of extension_uart
1951 # -- Loading entity extension_uart
1952 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1953 # -- Loading package standard
1954 # -- Loading package std_logic_1164
1955 # -- Loading package numeric_std
1956 # -- Loading package common_pkg
1957 # -- Loading package extension_pkg
1958 # -- Compiling package extension_7seg_pkg
1959 # -- Compiling package body extension_7seg_pkg
1960 # -- Loading package extension_7seg_pkg
1961 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1962 # -- Loading package standard
1963 # -- Loading package std_logic_1164
1964 # -- Loading package numeric_std
1965 # -- Loading package common_pkg
1966 # -- Loading package extension_pkg
1967 # -- Loading package extension_7seg_pkg
1968 # -- Compiling entity extension_7seg
1969 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1970 # -- Loading package standard
1971 # -- Loading package std_logic_1164
1972 # -- Loading package numeric_std
1973 # -- Loading package common_pkg
1974 # -- Loading package extension_pkg
1975 # -- Loading package core_pkg
1976 # -- Loading package mem_pkg
1977 # -- Loading package extension_7seg_pkg
1978 # -- Compiling architecture behav of extension_7seg
1979 # -- Loading entity extension_7seg
1980 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1981 # -- Loading package standard
1982 # -- Loading package std_logic_1164
1983 # -- Loading package std_logic_arith
1984 # -- Loading package std_logic_unsigned
1985 # -- Loading package numeric_std
1986 # -- Loading package common_pkg
1987 # -- Loading package extension_pkg
1988 # -- Loading package core_pkg
1989 # -- Loading package extension_uart_pkg
1990 # -- Compiling entity rs232_tx
1991 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1992 # -- Loading package standard
1993 # -- Loading package std_logic_1164
1994 # -- Loading package numeric_std
1995 # -- Loading package common_pkg
1996 # -- Loading package extension_pkg
1997 # -- Loading package core_pkg
1998 # -- Loading package extension_uart_pkg
1999 # -- Compiling architecture beh of rs232_tx
2000 # -- Loading package std_logic_arith
2001 # -- Loading package std_logic_unsigned
2002 # -- Loading entity rs232_tx
2003 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2004 # -- Loading package standard
2005 # -- Loading package std_logic_1164
2006 # -- Loading package std_logic_arith
2007 # -- Loading package std_logic_unsigned
2008 # -- Loading package numeric_std
2009 # -- Loading package common_pkg
2010 # -- Loading package extension_pkg
2011 # -- Loading package core_pkg
2012 # -- Loading package extension_uart_pkg
2013 # -- Compiling entity rs232_rx
2014 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2015 # -- Loading package standard
2016 # -- Loading package std_logic_1164
2017 # -- Loading package numeric_std
2018 # -- Loading package common_pkg
2019 # -- Loading package extension_pkg
2020 # -- Loading package extension_uart_pkg
2021 # -- Loading package core_pkg
2022 # -- Compiling architecture beh of rs232_rx
2023 # -- Loading package std_logic_arith
2024 # -- Loading package std_logic_unsigned
2025 # -- Loading entity rs232_rx
2026 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2027 # -- Loading package standard
2028 # -- Loading package std_logic_1164
2029 # -- Loading package numeric_std
2030 # -- Loading package common_pkg
2031 # -- Loading package extension_pkg
2032 # -- Loading package core_pkg
2033 # -- Compiling entity decoder
2034 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2035 # -- Loading package standard
2036 # -- Loading package std_logic_1164
2037 # -- Loading package numeric_std
2038 # -- Loading package mem_pkg
2039 # -- Loading package common_pkg
2040 # -- Loading package extension_pkg
2041 # -- Loading package core_pkg
2042 # -- Compiling architecture behav_d of decoder
2043 # -- Loading entity decoder
2044 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2045 # -- Loading package standard
2046 # -- Loading package std_logic_1164
2047 # -- Loading package numeric_std
2048 # -- Loading package common_pkg
2049 # -- Loading package extension_pkg
2050 # -- Loading package core_pkg
2051 # -- Compiling entity fetch_stage
2052 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2053 # -- Loading package standard
2054 # -- Loading package std_logic_1164
2055 # -- Loading package numeric_std
2056 # -- Loading package common_pkg
2057 # -- Loading package extension_pkg
2058 # -- Loading package core_pkg
2059 # -- Loading package mem_pkg
2060 # -- Compiling architecture behav of fetch_stage
2061 # -- Loading entity fetch_stage
2062 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2063 # -- Loading package standard
2064 # -- Loading package std_logic_1164
2065 # -- Loading package numeric_std
2066 # -- Loading package common_pkg
2067 # -- Loading package extension_pkg
2068 # -- Loading package core_pkg
2069 # -- Compiling entity decode_stage
2070 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2071 # -- Loading package standard
2072 # -- Loading package std_logic_1164
2073 # -- Loading package numeric_std
2074 # -- Loading package mem_pkg
2075 # -- Loading package common_pkg
2076 # -- Loading package extension_pkg
2077 # -- Loading package core_pkg
2078 # -- Compiling architecture behav of decode_stage
2079 # -- Loading entity decode_stage
2080 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2081 # -- Loading package standard
2082 # -- Loading package std_logic_1164
2083 # -- Loading package numeric_std
2084 # -- Loading package common_pkg
2085 # -- Loading package extension_pkg
2086 # -- Compiling package alu_pkg
2087 # -- Compiling package body alu_pkg
2088 # -- Loading package alu_pkg
2089 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2090 # -- Loading package standard
2091 # -- Loading package std_logic_1164
2092 # -- Loading package numeric_std
2093 # -- Loading package common_pkg
2094 # -- Compiling package extension_pkg
2095 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2096 # -- Loading package standard
2097 # -- Loading package std_logic_1164
2098 # -- Loading package numeric_std
2099 # -- Loading package common_pkg
2100 # -- Loading package extension_pkg
2101 # -- Loading package alu_pkg
2102 # -- Compiling entity exec_op
2103 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2104 # -- Loading package standard
2105 # -- Loading package std_logic_1164
2106 # -- Loading package numeric_std
2107 # -- Loading package common_pkg
2108 # -- Loading package extension_pkg
2109 # -- Loading package alu_pkg
2110 # -- Compiling architecture add_op of exec_op
2111 # -- Loading entity exec_op
2112 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2113 # -- Loading package standard
2114 # -- Loading package std_logic_1164
2115 # -- Loading package numeric_std
2116 # -- Loading package common_pkg
2117 # -- Loading package extension_pkg
2118 # -- Loading package alu_pkg
2119 # -- Compiling architecture and_op of exec_op
2120 # -- Loading entity exec_op
2121 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2122 # -- Loading package standard
2123 # -- Loading package std_logic_1164
2124 # -- Loading package numeric_std
2125 # -- Loading package common_pkg
2126 # -- Loading package extension_pkg
2127 # -- Loading package alu_pkg
2128 # -- Compiling architecture or_op of exec_op
2129 # -- Loading entity exec_op
2130 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2131 # -- Loading package standard
2132 # -- Loading package std_logic_1164
2133 # -- Loading package numeric_std
2134 # -- Loading package common_pkg
2135 # -- Loading package extension_pkg
2136 # -- Loading package alu_pkg
2137 # -- Compiling architecture xor_op of exec_op
2138 # -- Loading entity exec_op
2139 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2140 # -- Loading package standard
2141 # -- Loading package std_logic_1164
2142 # -- Loading package numeric_std
2143 # -- Loading package common_pkg
2144 # -- Loading package extension_pkg
2145 # -- Loading package alu_pkg
2146 # -- Compiling architecture shift_op of exec_op
2147 # -- Loading entity exec_op
2148 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2149 # -- Loading package standard
2150 # -- Loading package std_logic_1164
2151 # -- Loading package numeric_std
2152 # -- Loading package common_pkg
2153 # -- Loading package extension_pkg
2154 # -- Loading package alu_pkg
2155 # -- Compiling entity alu
2156 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2157 # -- Loading package standard
2158 # -- Loading package std_logic_1164
2159 # -- Loading package numeric_std
2160 # -- Loading package common_pkg
2161 # -- Loading package extension_pkg
2162 # -- Loading package alu_pkg
2163 # -- Compiling architecture behaviour of alu
2164 # -- Loading entity alu
2165 # -- Loading entity exec_op
2166 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2167 # -- Loading package standard
2168 # -- Loading package std_logic_1164
2169 # -- Loading package numeric_std
2170 # -- Loading package common_pkg
2171 # -- Compiling package extension_pkg
2172 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2173 # -- Loading package standard
2174 # -- Loading package std_logic_1164
2175 # -- Loading package numeric_std
2176 # -- Loading package common_pkg
2177 # -- Loading package extension_pkg
2178 # -- Compiling entity extension_gpm
2179 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2180 # -- Loading package standard
2181 # -- Loading package std_logic_1164
2182 # -- Loading package numeric_std
2183 # -- Loading package common_pkg
2184 # -- Loading package extension_pkg
2185 # -- Loading package core_pkg
2186 # -- Loading package mem_pkg
2187 # -- Compiling architecture behav of extension_gpm
2188 # -- Loading entity extension_gpm
2189 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2190 # -- Loading package standard
2191 # -- Loading package std_logic_1164
2192 # -- Loading package numeric_std
2193 # -- Loading package common_pkg
2194 # -- Loading package extension_pkg
2195 # -- Loading package alu_pkg
2196 # -- Compiling entity execute_stage
2197 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2198 # -- Loading package standard
2199 # -- Loading package std_logic_1164
2200 # -- Loading package numeric_std
2201 # -- Loading package common_pkg
2202 # -- Loading package extension_pkg
2203 # -- Loading package alu_pkg
2204 # -- Compiling architecture behav of execute_stage
2205 # -- Loading entity execute_stage
2206 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2207 # -- Loading package standard
2208 # -- Loading package std_logic_1164
2209 # -- Loading package numeric_std
2210 # -- Loading package common_pkg
2211 # -- Compiling entity writeback_stage
2212 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2213 # -- Loading package standard
2214 # -- Loading package std_logic_1164
2215 # -- Loading package numeric_std
2216 # -- Loading package common_pkg
2217 # -- Loading package extension_pkg
2218 # -- Loading package core_pkg
2219 # -- Loading package mem_pkg
2220 # -- Loading package extension_uart_pkg
2221 # -- Loading package extension_7seg_pkg
2222 # -- Compiling architecture behav of writeback_stage
2223 # -- Loading entity writeback_stage
2224 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
2225 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
2226 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
2227 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
2228 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2229 # -- Loading package standard
2230 # -- Loading package std_logic_1164
2231 # -- Loading package numeric_std
2232 # -- Loading package common_pkg
2233 # -- Loading package extension_pkg
2234 # -- Loading package core_pkg
2235 # -- Compiling entity pipeline_tb
2236 # -- Compiling architecture behavior of pipeline_tb
2237 # -- Compiling configuration pipeline_conf_beh
2238 # -- Loading entity pipeline_tb
2239 # -- Loading architecture behavior of pipeline_tb
2240 # -- Loading entity fetch_stage
2241 # -- Loading entity decode_stage
2242 # -- Loading package alu_pkg
2243 # -- Loading entity execute_stage
2244 # -- Loading entity writeback_stage
2245 # vsim -t ns work.pipeline_conf_beh 
2246 # Loading std.standard
2247 # Loading ieee.std_logic_1164(body)
2248 # Loading ieee.numeric_std(body)
2249 # Loading work.common_pkg(body)
2250 # Loading work.extension_pkg
2251 # Loading work.core_pkg
2252 # Loading work.alu_pkg(body)
2253 # Loading work.pipeline_conf_beh
2254 # Loading work.pipeline_tb(behavior)
2255 # Loading work.mem_pkg
2256 # Loading work.fetch_stage(behav)
2257 # Loading work.rom(behaviour)
2258 # Loading work.decode_stage(behav)
2259 # Loading work.r2_w_ram(behaviour)
2260 # Loading work.decoder(behav_d)
2261 # Loading work.execute_stage(behav)
2262 # Loading work.alu(behaviour)
2263 # Loading work.exec_op(add_op)
2264 # Loading work.exec_op(and_op)
2265 # Loading work.exec_op(or_op)
2266 # Loading work.exec_op(xor_op)
2267 # Loading work.exec_op(shift_op)
2268 # Loading work.extension_gpm(behav)
2269 # Loading work.extension_uart_pkg
2270 # Loading work.extension_7seg_pkg(body)
2271 # Loading work.writeback_stage(behav)
2272 # Loading work.r_w_ram(behaviour)
2273 # Loading work.extension_uart(behav)
2274 # Loading ieee.std_logic_arith(body)
2275 # Loading ieee.std_logic_unsigned(body)
2276 # Loading work.rs232_tx(beh)
2277 # Loading work.rs232_rx(beh)
2278 # Loading work.extension_7seg(behav)
2279 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2280 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2281 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2282 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2283 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2284 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2285 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2286 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2287 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2288 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2289 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2290 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2291 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2292 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2293 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2294 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2295 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2296 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2297 do testcore.do
2298 # ** Warning: (vlib-34) Library already exists at "work".
2299 # Modifying modelsim.ini
2300 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2301 # -- Loading package standard
2302 # -- Loading package std_logic_1164
2303 # -- Loading package numeric_std
2304 # -- Compiling package mem_pkg
2305 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2306 # -- Loading package standard
2307 # -- Loading package std_logic_1164
2308 # -- Loading package numeric_std
2309 # -- Compiling entity r_w_ram
2310 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2311 # -- Loading package standard
2312 # -- Loading package std_logic_1164
2313 # -- Loading package numeric_std
2314 # -- Loading package mem_pkg
2315 # -- Compiling architecture behaviour of r_w_ram
2316 # -- Loading entity r_w_ram
2317 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2318 # -- Loading package standard
2319 # -- Loading package std_logic_1164
2320 # -- Loading package numeric_std
2321 # -- Compiling entity r_w_ram_be
2322 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2323 # -- Loading package standard
2324 # -- Loading package std_logic_1164
2325 # -- Loading package numeric_std
2326 # -- Loading package mem_pkg
2327 # -- Compiling architecture behaviour of r_w_ram_be
2328 # -- Loading entity r_w_ram_be
2329 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2330 # -- Loading package standard
2331 # -- Loading package std_logic_1164
2332 # -- Loading package numeric_std
2333 # -- Loading package mem_pkg
2334 # -- Compiling entity r2_w_ram
2335 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2336 # -- Loading package standard
2337 # -- Loading package std_logic_1164
2338 # -- Loading package numeric_std
2339 # -- Loading package mem_pkg
2340 # -- Compiling architecture behaviour of r2_w_ram
2341 # -- Loading entity r2_w_ram
2342 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2343 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2344 # -- Loading package standard
2345 # -- Loading package std_logic_1164
2346 # -- Loading package numeric_std
2347 # -- Compiling entity rom
2348 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2349 # -- Loading package standard
2350 # -- Loading package std_logic_1164
2351 # -- Loading package numeric_std
2352 # -- Loading package mem_pkg
2353 # -- Compiling architecture behaviour of rom
2354 # -- Loading entity rom
2355 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2356 # -- Loading package standard
2357 # -- Loading package std_logic_1164
2358 # -- Loading package numeric_std
2359 # -- Compiling package common_pkg
2360 # -- Compiling package body common_pkg
2361 # -- Loading package common_pkg
2362 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2363 # -- Loading package standard
2364 # -- Loading package std_logic_1164
2365 # -- Loading package numeric_std
2366 # -- Loading package common_pkg
2367 # -- Compiling package extension_pkg
2368 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2369 # -- Loading package standard
2370 # -- Loading package std_logic_1164
2371 # -- Loading package numeric_std
2372 # -- Loading package common_pkg
2373 # -- Loading package extension_pkg
2374 # -- Compiling package core_pkg
2375 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2376 # -- Loading package standard
2377 # -- Loading package std_logic_1164
2378 # -- Loading package numeric_std
2379 # -- Loading package common_pkg
2380 # -- Loading package extension_pkg
2381 # -- Compiling package extension_uart_pkg
2382 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2383 # -- Loading package standard
2384 # -- Loading package std_logic_1164
2385 # -- Loading package numeric_std
2386 # -- Loading package common_pkg
2387 # -- Loading package extension_pkg
2388 # -- Loading package extension_uart_pkg
2389 # -- Compiling entity extension_uart
2390 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2391 # -- Loading package standard
2392 # -- Loading package std_logic_1164
2393 # -- Loading package numeric_std
2394 # -- Loading package common_pkg
2395 # -- Loading package extension_pkg
2396 # -- Loading package core_pkg
2397 # -- Loading package mem_pkg
2398 # -- Loading package extension_uart_pkg
2399 # -- Compiling architecture behav of extension_uart
2400 # -- Loading entity extension_uart
2401 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2402 # -- Loading package standard
2403 # -- Loading package std_logic_1164
2404 # -- Loading package numeric_std
2405 # -- Loading package common_pkg
2406 # -- Loading package extension_pkg
2407 # -- Compiling package extension_7seg_pkg
2408 # -- Compiling package body extension_7seg_pkg
2409 # -- Loading package extension_7seg_pkg
2410 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2411 # -- Loading package standard
2412 # -- Loading package std_logic_1164
2413 # -- Loading package numeric_std
2414 # -- Loading package common_pkg
2415 # -- Loading package extension_pkg
2416 # -- Loading package extension_7seg_pkg
2417 # -- Compiling entity extension_7seg
2418 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2419 # -- Loading package standard
2420 # -- Loading package std_logic_1164
2421 # -- Loading package numeric_std
2422 # -- Loading package common_pkg
2423 # -- Loading package extension_pkg
2424 # -- Loading package core_pkg
2425 # -- Loading package mem_pkg
2426 # -- Loading package extension_7seg_pkg
2427 # -- Compiling architecture behav of extension_7seg
2428 # -- Loading entity extension_7seg
2429 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2430 # -- Loading package standard
2431 # -- Loading package std_logic_1164
2432 # -- Loading package std_logic_arith
2433 # -- Loading package std_logic_unsigned
2434 # -- Loading package numeric_std
2435 # -- Loading package common_pkg
2436 # -- Loading package extension_pkg
2437 # -- Loading package core_pkg
2438 # -- Loading package extension_uart_pkg
2439 # -- Compiling entity rs232_tx
2440 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2441 # -- Loading package standard
2442 # -- Loading package std_logic_1164
2443 # -- Loading package numeric_std
2444 # -- Loading package common_pkg
2445 # -- Loading package extension_pkg
2446 # -- Loading package core_pkg
2447 # -- Loading package extension_uart_pkg
2448 # -- Compiling architecture beh of rs232_tx
2449 # -- Loading package std_logic_arith
2450 # -- Loading package std_logic_unsigned
2451 # -- Loading entity rs232_tx
2452 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2453 # -- Loading package standard
2454 # -- Loading package std_logic_1164
2455 # -- Loading package std_logic_arith
2456 # -- Loading package std_logic_unsigned
2457 # -- Loading package numeric_std
2458 # -- Loading package common_pkg
2459 # -- Loading package extension_pkg
2460 # -- Loading package core_pkg
2461 # -- Loading package extension_uart_pkg
2462 # -- Compiling entity rs232_rx
2463 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2464 # -- Loading package standard
2465 # -- Loading package std_logic_1164
2466 # -- Loading package numeric_std
2467 # -- Loading package common_pkg
2468 # -- Loading package extension_pkg
2469 # -- Loading package extension_uart_pkg
2470 # -- Loading package core_pkg
2471 # -- Compiling architecture beh of rs232_rx
2472 # -- Loading package std_logic_arith
2473 # -- Loading package std_logic_unsigned
2474 # -- Loading entity rs232_rx
2475 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2476 # -- Loading package standard
2477 # -- Loading package std_logic_1164
2478 # -- Loading package numeric_std
2479 # -- Loading package common_pkg
2480 # -- Loading package extension_pkg
2481 # -- Loading package core_pkg
2482 # -- Compiling entity decoder
2483 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2484 # -- Loading package standard
2485 # -- Loading package std_logic_1164
2486 # -- Loading package numeric_std
2487 # -- Loading package mem_pkg
2488 # -- Loading package common_pkg
2489 # -- Loading package extension_pkg
2490 # -- Loading package core_pkg
2491 # -- Compiling architecture behav_d of decoder
2492 # -- Loading entity decoder
2493 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2494 # -- Loading package standard
2495 # -- Loading package std_logic_1164
2496 # -- Loading package numeric_std
2497 # -- Loading package common_pkg
2498 # -- Loading package extension_pkg
2499 # -- Loading package core_pkg
2500 # -- Compiling entity fetch_stage
2501 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2502 # -- Loading package standard
2503 # -- Loading package std_logic_1164
2504 # -- Loading package numeric_std
2505 # -- Loading package common_pkg
2506 # -- Loading package extension_pkg
2507 # -- Loading package core_pkg
2508 # -- Loading package mem_pkg
2509 # -- Compiling architecture behav of fetch_stage
2510 # -- Loading entity fetch_stage
2511 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2512 # -- Loading package standard
2513 # -- Loading package std_logic_1164
2514 # -- Loading package numeric_std
2515 # -- Loading package common_pkg
2516 # -- Loading package extension_pkg
2517 # -- Loading package core_pkg
2518 # -- Compiling entity decode_stage
2519 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2520 # -- Loading package standard
2521 # -- Loading package std_logic_1164
2522 # -- Loading package numeric_std
2523 # -- Loading package mem_pkg
2524 # -- Loading package common_pkg
2525 # -- Loading package extension_pkg
2526 # -- Loading package core_pkg
2527 # -- Compiling architecture behav of decode_stage
2528 # -- Loading entity decode_stage
2529 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2530 # -- Loading package standard
2531 # -- Loading package std_logic_1164
2532 # -- Loading package numeric_std
2533 # -- Loading package common_pkg
2534 # -- Loading package extension_pkg
2535 # -- Compiling package alu_pkg
2536 # -- Compiling package body alu_pkg
2537 # -- Loading package alu_pkg
2538 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2539 # -- Loading package standard
2540 # -- Loading package std_logic_1164
2541 # -- Loading package numeric_std
2542 # -- Loading package common_pkg
2543 # -- Compiling package extension_pkg
2544 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2545 # -- Loading package standard
2546 # -- Loading package std_logic_1164
2547 # -- Loading package numeric_std
2548 # -- Loading package common_pkg
2549 # -- Loading package extension_pkg
2550 # -- Loading package alu_pkg
2551 # -- Compiling entity exec_op
2552 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2553 # -- Loading package standard
2554 # -- Loading package std_logic_1164
2555 # -- Loading package numeric_std
2556 # -- Loading package common_pkg
2557 # -- Loading package extension_pkg
2558 # -- Loading package alu_pkg
2559 # -- Compiling architecture add_op of exec_op
2560 # -- Loading entity exec_op
2561 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2562 # -- Loading package standard
2563 # -- Loading package std_logic_1164
2564 # -- Loading package numeric_std
2565 # -- Loading package common_pkg
2566 # -- Loading package extension_pkg
2567 # -- Loading package alu_pkg
2568 # -- Compiling architecture and_op of exec_op
2569 # -- Loading entity exec_op
2570 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2571 # -- Loading package standard
2572 # -- Loading package std_logic_1164
2573 # -- Loading package numeric_std
2574 # -- Loading package common_pkg
2575 # -- Loading package extension_pkg
2576 # -- Loading package alu_pkg
2577 # -- Compiling architecture or_op of exec_op
2578 # -- Loading entity exec_op
2579 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2580 # -- Loading package standard
2581 # -- Loading package std_logic_1164
2582 # -- Loading package numeric_std
2583 # -- Loading package common_pkg
2584 # -- Loading package extension_pkg
2585 # -- Loading package alu_pkg
2586 # -- Compiling architecture xor_op of exec_op
2587 # -- Loading entity exec_op
2588 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2589 # -- Loading package standard
2590 # -- Loading package std_logic_1164
2591 # -- Loading package numeric_std
2592 # -- Loading package common_pkg
2593 # -- Loading package extension_pkg
2594 # -- Loading package alu_pkg
2595 # -- Compiling architecture shift_op of exec_op
2596 # -- Loading entity exec_op
2597 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2598 # -- Loading package standard
2599 # -- Loading package std_logic_1164
2600 # -- Loading package numeric_std
2601 # -- Loading package common_pkg
2602 # -- Loading package extension_pkg
2603 # -- Loading package alu_pkg
2604 # -- Compiling entity alu
2605 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2606 # -- Loading package standard
2607 # -- Loading package std_logic_1164
2608 # -- Loading package numeric_std
2609 # -- Loading package common_pkg
2610 # -- Loading package extension_pkg
2611 # -- Loading package alu_pkg
2612 # -- Compiling architecture behaviour of alu
2613 # -- Loading entity alu
2614 # -- Loading entity exec_op
2615 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2616 # -- Loading package standard
2617 # -- Loading package std_logic_1164
2618 # -- Loading package numeric_std
2619 # -- Loading package common_pkg
2620 # -- Compiling package extension_pkg
2621 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2622 # -- Loading package standard
2623 # -- Loading package std_logic_1164
2624 # -- Loading package numeric_std
2625 # -- Loading package common_pkg
2626 # -- Loading package extension_pkg
2627 # -- Compiling entity extension_gpm
2628 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2629 # -- Loading package standard
2630 # -- Loading package std_logic_1164
2631 # -- Loading package numeric_std
2632 # -- Loading package common_pkg
2633 # -- Loading package extension_pkg
2634 # -- Loading package core_pkg
2635 # -- Loading package mem_pkg
2636 # -- Compiling architecture behav of extension_gpm
2637 # -- Loading entity extension_gpm
2638 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2639 # -- Loading package standard
2640 # -- Loading package std_logic_1164
2641 # -- Loading package numeric_std
2642 # -- Loading package common_pkg
2643 # -- Loading package extension_pkg
2644 # -- Loading package alu_pkg
2645 # -- Compiling entity execute_stage
2646 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2647 # -- Loading package standard
2648 # -- Loading package std_logic_1164
2649 # -- Loading package numeric_std
2650 # -- Loading package common_pkg
2651 # -- Loading package extension_pkg
2652 # -- Loading package alu_pkg
2653 # -- Compiling architecture behav of execute_stage
2654 # -- Loading entity execute_stage
2655 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2656 # -- Loading package standard
2657 # -- Loading package std_logic_1164
2658 # -- Loading package numeric_std
2659 # -- Loading package common_pkg
2660 # -- Compiling entity writeback_stage
2661 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2662 # -- Loading package standard
2663 # -- Loading package std_logic_1164
2664 # -- Loading package numeric_std
2665 # -- Loading package common_pkg
2666 # -- Loading package extension_pkg
2667 # -- Loading package core_pkg
2668 # -- Loading package mem_pkg
2669 # -- Loading package extension_uart_pkg
2670 # -- Loading package extension_7seg_pkg
2671 # -- Compiling architecture behav of writeback_stage
2672 # -- Loading entity writeback_stage
2673 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
2674 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
2675 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
2676 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
2677 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2678 # -- Loading package standard
2679 # -- Loading package std_logic_1164
2680 # -- Loading package numeric_std
2681 # -- Loading package common_pkg
2682 # -- Loading package extension_pkg
2683 # -- Loading package core_pkg
2684 # -- Compiling entity pipeline_tb
2685 # -- Compiling architecture behavior of pipeline_tb
2686 # -- Compiling configuration pipeline_conf_beh
2687 # -- Loading entity pipeline_tb
2688 # -- Loading architecture behavior of pipeline_tb
2689 # -- Loading entity fetch_stage
2690 # -- Loading entity decode_stage
2691 # -- Loading package alu_pkg
2692 # -- Loading entity execute_stage
2693 # -- Loading entity writeback_stage
2694 # vsim -t ns work.pipeline_conf_beh 
2695 # Loading std.standard
2696 # Loading ieee.std_logic_1164(body)
2697 # Loading ieee.numeric_std(body)
2698 # Loading work.common_pkg(body)
2699 # Loading work.extension_pkg
2700 # Loading work.core_pkg
2701 # Loading work.alu_pkg(body)
2702 # Loading work.pipeline_conf_beh
2703 # Loading work.pipeline_tb(behavior)
2704 # Loading work.mem_pkg
2705 # Loading work.fetch_stage(behav)
2706 # Loading work.rom(behaviour)
2707 # Loading work.decode_stage(behav)
2708 # Loading work.r2_w_ram(behaviour)
2709 # Loading work.decoder(behav_d)
2710 # Loading work.execute_stage(behav)
2711 # Loading work.alu(behaviour)
2712 # Loading work.exec_op(add_op)
2713 # Loading work.exec_op(and_op)
2714 # Loading work.exec_op(or_op)
2715 # Loading work.exec_op(xor_op)
2716 # Loading work.exec_op(shift_op)
2717 # Loading work.extension_gpm(behav)
2718 # Loading work.extension_uart_pkg
2719 # Loading work.extension_7seg_pkg(body)
2720 # Loading work.writeback_stage(behav)
2721 # Loading work.r_w_ram_be(behaviour)
2722 # Loading work.extension_uart(behav)
2723 # Loading ieee.std_logic_arith(body)
2724 # Loading ieee.std_logic_unsigned(body)
2725 # Loading work.rs232_tx(beh)
2726 # Loading work.rs232_rx(beh)
2727 # Loading work.extension_7seg(behav)
2728 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2729 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2730 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2731 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2732 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2733 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2734 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2735 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2736 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2737 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2738 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2739 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2740 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2741 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2742 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2743 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2744 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2745 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2746 do testcore.do
2747 # ** Warning: (vlib-34) Library already exists at "work".
2748 # Modifying modelsim.ini
2749 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2750 # -- Loading package standard
2751 # -- Loading package std_logic_1164
2752 # -- Loading package numeric_std
2753 # -- Compiling package mem_pkg
2754 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2755 # -- Loading package standard
2756 # -- Loading package std_logic_1164
2757 # -- Loading package numeric_std
2758 # -- Compiling entity r_w_ram
2759 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2760 # -- Loading package standard
2761 # -- Loading package std_logic_1164
2762 # -- Loading package numeric_std
2763 # -- Loading package mem_pkg
2764 # -- Compiling architecture behaviour of r_w_ram
2765 # -- Loading entity r_w_ram
2766 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2767 # -- Loading package standard
2768 # -- Loading package std_logic_1164
2769 # -- Loading package numeric_std
2770 # -- Compiling entity r_w_ram_be
2771 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2772 # -- Loading package standard
2773 # -- Loading package std_logic_1164
2774 # -- Loading package numeric_std
2775 # -- Loading package mem_pkg
2776 # -- Compiling architecture behaviour of r_w_ram_be
2777 # -- Loading entity r_w_ram_be
2778 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2779 # -- Loading package standard
2780 # -- Loading package std_logic_1164
2781 # -- Loading package numeric_std
2782 # -- Loading package mem_pkg
2783 # -- Compiling entity r2_w_ram
2784 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2785 # -- Loading package standard
2786 # -- Loading package std_logic_1164
2787 # -- Loading package numeric_std
2788 # -- Loading package mem_pkg
2789 # -- Compiling architecture behaviour of r2_w_ram
2790 # -- Loading entity r2_w_ram
2791 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2792 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2793 # -- Loading package standard
2794 # -- Loading package std_logic_1164
2795 # -- Loading package numeric_std
2796 # -- Compiling entity rom
2797 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2798 # -- Loading package standard
2799 # -- Loading package std_logic_1164
2800 # -- Loading package numeric_std
2801 # -- Loading package mem_pkg
2802 # -- Compiling architecture behaviour of rom
2803 # -- Loading entity rom
2804 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2805 # -- Loading package standard
2806 # -- Loading package std_logic_1164
2807 # -- Loading package numeric_std
2808 # -- Compiling package common_pkg
2809 # -- Compiling package body common_pkg
2810 # -- Loading package common_pkg
2811 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2812 # -- Loading package standard
2813 # -- Loading package std_logic_1164
2814 # -- Loading package numeric_std
2815 # -- Loading package common_pkg
2816 # -- Compiling package extension_pkg
2817 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2818 # -- Loading package standard
2819 # -- Loading package std_logic_1164
2820 # -- Loading package numeric_std
2821 # -- Loading package common_pkg
2822 # -- Loading package extension_pkg
2823 # -- Compiling package core_pkg
2824 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2825 # -- Loading package standard
2826 # -- Loading package std_logic_1164
2827 # -- Loading package numeric_std
2828 # -- Loading package common_pkg
2829 # -- Loading package extension_pkg
2830 # -- Compiling package extension_uart_pkg
2831 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2832 # -- Loading package standard
2833 # -- Loading package std_logic_1164
2834 # -- Loading package numeric_std
2835 # -- Loading package common_pkg
2836 # -- Loading package extension_pkg
2837 # -- Loading package extension_uart_pkg
2838 # -- Compiling entity extension_uart
2839 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2840 # -- Loading package standard
2841 # -- Loading package std_logic_1164
2842 # -- Loading package numeric_std
2843 # -- Loading package common_pkg
2844 # -- Loading package extension_pkg
2845 # -- Loading package core_pkg
2846 # -- Loading package mem_pkg
2847 # -- Loading package extension_uart_pkg
2848 # -- Compiling architecture behav of extension_uart
2849 # -- Loading entity extension_uart
2850 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2851 # -- Loading package standard
2852 # -- Loading package std_logic_1164
2853 # -- Loading package numeric_std
2854 # -- Loading package common_pkg
2855 # -- Loading package extension_pkg
2856 # -- Compiling package extension_7seg_pkg
2857 # -- Compiling package body extension_7seg_pkg
2858 # -- Loading package extension_7seg_pkg
2859 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2860 # -- Loading package standard
2861 # -- Loading package std_logic_1164
2862 # -- Loading package numeric_std
2863 # -- Loading package common_pkg
2864 # -- Loading package extension_pkg
2865 # -- Loading package extension_7seg_pkg
2866 # -- Compiling entity extension_7seg
2867 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2868 # -- Loading package standard
2869 # -- Loading package std_logic_1164
2870 # -- Loading package numeric_std
2871 # -- Loading package common_pkg
2872 # -- Loading package extension_pkg
2873 # -- Loading package core_pkg
2874 # -- Loading package mem_pkg
2875 # -- Loading package extension_7seg_pkg
2876 # -- Compiling architecture behav of extension_7seg
2877 # -- Loading entity extension_7seg
2878 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2879 # -- Loading package standard
2880 # -- Loading package std_logic_1164
2881 # -- Loading package std_logic_arith
2882 # -- Loading package std_logic_unsigned
2883 # -- Loading package numeric_std
2884 # -- Loading package common_pkg
2885 # -- Loading package extension_pkg
2886 # -- Loading package core_pkg
2887 # -- Loading package extension_uart_pkg
2888 # -- Compiling entity rs232_tx
2889 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2890 # -- Loading package standard
2891 # -- Loading package std_logic_1164
2892 # -- Loading package numeric_std
2893 # -- Loading package common_pkg
2894 # -- Loading package extension_pkg
2895 # -- Loading package core_pkg
2896 # -- Loading package extension_uart_pkg
2897 # -- Compiling architecture beh of rs232_tx
2898 # -- Loading package std_logic_arith
2899 # -- Loading package std_logic_unsigned
2900 # -- Loading entity rs232_tx
2901 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2902 # -- Loading package standard
2903 # -- Loading package std_logic_1164
2904 # -- Loading package std_logic_arith
2905 # -- Loading package std_logic_unsigned
2906 # -- Loading package numeric_std
2907 # -- Loading package common_pkg
2908 # -- Loading package extension_pkg
2909 # -- Loading package core_pkg
2910 # -- Loading package extension_uart_pkg
2911 # -- Compiling entity rs232_rx
2912 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2913 # -- Loading package standard
2914 # -- Loading package std_logic_1164
2915 # -- Loading package numeric_std
2916 # -- Loading package common_pkg
2917 # -- Loading package extension_pkg
2918 # -- Loading package extension_uart_pkg
2919 # -- Loading package core_pkg
2920 # -- Compiling architecture beh of rs232_rx
2921 # -- Loading package std_logic_arith
2922 # -- Loading package std_logic_unsigned
2923 # -- Loading entity rs232_rx
2924 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2925 # -- Loading package standard
2926 # -- Loading package std_logic_1164
2927 # -- Loading package numeric_std
2928 # -- Loading package common_pkg
2929 # -- Loading package extension_pkg
2930 # -- Loading package core_pkg
2931 # -- Compiling entity decoder
2932 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2933 # -- Loading package standard
2934 # -- Loading package std_logic_1164
2935 # -- Loading package numeric_std
2936 # -- Loading package mem_pkg
2937 # -- Loading package common_pkg
2938 # -- Loading package extension_pkg
2939 # -- Loading package core_pkg
2940 # -- Compiling architecture behav_d of decoder
2941 # -- Loading entity decoder
2942 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2943 # -- Loading package standard
2944 # -- Loading package std_logic_1164
2945 # -- Loading package numeric_std
2946 # -- Loading package common_pkg
2947 # -- Loading package extension_pkg
2948 # -- Loading package core_pkg
2949 # -- Compiling entity fetch_stage
2950 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2951 # -- Loading package standard
2952 # -- Loading package std_logic_1164
2953 # -- Loading package numeric_std
2954 # -- Loading package common_pkg
2955 # -- Loading package extension_pkg
2956 # -- Loading package core_pkg
2957 # -- Loading package mem_pkg
2958 # -- Compiling architecture behav of fetch_stage
2959 # -- Loading entity fetch_stage
2960 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2961 # -- Loading package standard
2962 # -- Loading package std_logic_1164
2963 # -- Loading package numeric_std
2964 # -- Loading package common_pkg
2965 # -- Loading package extension_pkg
2966 # -- Loading package core_pkg
2967 # -- Compiling entity decode_stage
2968 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2969 # -- Loading package standard
2970 # -- Loading package std_logic_1164
2971 # -- Loading package numeric_std
2972 # -- Loading package mem_pkg
2973 # -- Loading package common_pkg
2974 # -- Loading package extension_pkg
2975 # -- Loading package core_pkg
2976 # -- Compiling architecture behav of decode_stage
2977 # -- Loading entity decode_stage
2978 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2979 # -- Loading package standard
2980 # -- Loading package std_logic_1164
2981 # -- Loading package numeric_std
2982 # -- Loading package common_pkg
2983 # -- Loading package extension_pkg
2984 # -- Compiling package alu_pkg
2985 # -- Compiling package body alu_pkg
2986 # -- Loading package alu_pkg
2987 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2988 # -- Loading package standard
2989 # -- Loading package std_logic_1164
2990 # -- Loading package numeric_std
2991 # -- Loading package common_pkg
2992 # -- Compiling package extension_pkg
2993 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2994 # -- Loading package standard
2995 # -- Loading package std_logic_1164
2996 # -- Loading package numeric_std
2997 # -- Loading package common_pkg
2998 # -- Loading package extension_pkg
2999 # -- Loading package alu_pkg
3000 # -- Compiling entity exec_op
3001 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3002 # -- Loading package standard
3003 # -- Loading package std_logic_1164
3004 # -- Loading package numeric_std
3005 # -- Loading package common_pkg
3006 # -- Loading package extension_pkg
3007 # -- Loading package alu_pkg
3008 # -- Compiling architecture add_op of exec_op
3009 # -- Loading entity exec_op
3010 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3011 # -- Loading package standard
3012 # -- Loading package std_logic_1164
3013 # -- Loading package numeric_std
3014 # -- Loading package common_pkg
3015 # -- Loading package extension_pkg
3016 # -- Loading package alu_pkg
3017 # -- Compiling architecture and_op of exec_op
3018 # -- Loading entity exec_op
3019 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3020 # -- Loading package standard
3021 # -- Loading package std_logic_1164
3022 # -- Loading package numeric_std
3023 # -- Loading package common_pkg
3024 # -- Loading package extension_pkg
3025 # -- Loading package alu_pkg
3026 # -- Compiling architecture or_op of exec_op
3027 # -- Loading entity exec_op
3028 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3029 # -- Loading package standard
3030 # -- Loading package std_logic_1164
3031 # -- Loading package numeric_std
3032 # -- Loading package common_pkg
3033 # -- Loading package extension_pkg
3034 # -- Loading package alu_pkg
3035 # -- Compiling architecture xor_op of exec_op
3036 # -- Loading entity exec_op
3037 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3038 # -- Loading package standard
3039 # -- Loading package std_logic_1164
3040 # -- Loading package numeric_std
3041 # -- Loading package common_pkg
3042 # -- Loading package extension_pkg
3043 # -- Loading package alu_pkg
3044 # -- Compiling architecture shift_op of exec_op
3045 # -- Loading entity exec_op
3046 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3047 # -- Loading package standard
3048 # -- Loading package std_logic_1164
3049 # -- Loading package numeric_std
3050 # -- Loading package common_pkg
3051 # -- Loading package extension_pkg
3052 # -- Loading package alu_pkg
3053 # -- Compiling entity alu
3054 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3055 # -- Loading package standard
3056 # -- Loading package std_logic_1164
3057 # -- Loading package numeric_std
3058 # -- Loading package common_pkg
3059 # -- Loading package extension_pkg
3060 # -- Loading package alu_pkg
3061 # -- Compiling architecture behaviour of alu
3062 # -- Loading entity alu
3063 # -- Loading entity exec_op
3064 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3065 # -- Loading package standard
3066 # -- Loading package std_logic_1164
3067 # -- Loading package numeric_std
3068 # -- Loading package common_pkg
3069 # -- Compiling package extension_pkg
3070 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3071 # -- Loading package standard
3072 # -- Loading package std_logic_1164
3073 # -- Loading package numeric_std
3074 # -- Loading package common_pkg
3075 # -- Loading package extension_pkg
3076 # -- Compiling entity extension_gpm
3077 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3078 # -- Loading package standard
3079 # -- Loading package std_logic_1164
3080 # -- Loading package numeric_std
3081 # -- Loading package common_pkg
3082 # -- Loading package extension_pkg
3083 # -- Loading package core_pkg
3084 # -- Loading package mem_pkg
3085 # -- Compiling architecture behav of extension_gpm
3086 # -- Loading entity extension_gpm
3087 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3088 # -- Loading package standard
3089 # -- Loading package std_logic_1164
3090 # -- Loading package numeric_std
3091 # -- Loading package common_pkg
3092 # -- Loading package extension_pkg
3093 # -- Loading package alu_pkg
3094 # -- Compiling entity execute_stage
3095 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3096 # -- Loading package standard
3097 # -- Loading package std_logic_1164
3098 # -- Loading package numeric_std
3099 # -- Loading package common_pkg
3100 # -- Loading package extension_pkg
3101 # -- Loading package alu_pkg
3102 # -- Compiling architecture behav of execute_stage
3103 # -- Loading entity execute_stage
3104 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3105 # -- Loading package standard
3106 # -- Loading package std_logic_1164
3107 # -- Loading package numeric_std
3108 # -- Loading package common_pkg
3109 # -- Compiling entity writeback_stage
3110 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3111 # -- Loading package standard
3112 # -- Loading package std_logic_1164
3113 # -- Loading package numeric_std
3114 # -- Loading package common_pkg
3115 # -- Loading package extension_pkg
3116 # -- Loading package core_pkg
3117 # -- Loading package mem_pkg
3118 # -- Loading package extension_uart_pkg
3119 # -- Loading package extension_7seg_pkg
3120 # -- Compiling architecture behav of writeback_stage
3121 # -- Loading entity writeback_stage
3122 # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression.
3123 # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression.
3124 # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression.
3125 # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression.
3126 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3127 # -- Loading package standard
3128 # -- Loading package std_logic_1164
3129 # -- Loading package numeric_std
3130 # -- Loading package common_pkg
3131 # -- Loading package extension_pkg
3132 # -- Loading package core_pkg
3133 # -- Compiling entity pipeline_tb
3134 # -- Compiling architecture behavior of pipeline_tb
3135 # -- Compiling configuration pipeline_conf_beh
3136 # -- Loading entity pipeline_tb
3137 # -- Loading architecture behavior of pipeline_tb
3138 # -- Loading entity fetch_stage
3139 # -- Loading entity decode_stage
3140 # -- Loading package alu_pkg
3141 # -- Loading entity execute_stage
3142 # -- Loading entity writeback_stage
3143 # vsim -t ns work.pipeline_conf_beh 
3144 # Loading std.standard
3145 # Loading ieee.std_logic_1164(body)
3146 # Loading ieee.numeric_std(body)
3147 # Loading work.common_pkg(body)
3148 # Loading work.extension_pkg
3149 # Loading work.core_pkg
3150 # Loading work.alu_pkg(body)
3151 # Loading work.pipeline_conf_beh
3152 # Loading work.pipeline_tb(behavior)
3153 # Loading work.mem_pkg
3154 # Loading work.fetch_stage(behav)
3155 # Loading work.rom(behaviour)
3156 # Loading work.decode_stage(behav)
3157 # Loading work.r2_w_ram(behaviour)
3158 # Loading work.decoder(behav_d)
3159 # Loading work.execute_stage(behav)
3160 # Loading work.alu(behaviour)
3161 # Loading work.exec_op(add_op)
3162 # Loading work.exec_op(and_op)
3163 # Loading work.exec_op(or_op)
3164 # Loading work.exec_op(xor_op)
3165 # Loading work.exec_op(shift_op)
3166 # Loading work.extension_gpm(behav)
3167 # Loading work.extension_uart_pkg
3168 # Loading work.extension_7seg_pkg(body)
3169 # Loading work.writeback_stage(behav)
3170 # Loading work.r_w_ram_be(behaviour)
3171 # Loading work.extension_uart(behav)
3172 # Loading ieee.std_logic_arith(body)
3173 # Loading ieee.std_logic_unsigned(body)
3174 # Loading work.rs232_tx(beh)
3175 # Loading work.rs232_rx(beh)
3176 # Loading work.extension_7seg(behav)
3177 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3178 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3179 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3180 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3181 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3182 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3183 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3184 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3185 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3186 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3187 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3188 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3189 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3190 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3191 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3192 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3193 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3194 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3195 do testcore.do
3196 # ** Warning: (vlib-34) Library already exists at "work".
3197 # Modifying modelsim.ini
3198 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3199 # -- Loading package standard
3200 # -- Loading package std_logic_1164
3201 # -- Loading package numeric_std
3202 # -- Compiling package mem_pkg
3203 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3204 # -- Loading package standard
3205 # -- Loading package std_logic_1164
3206 # -- Loading package numeric_std
3207 # -- Compiling entity r_w_ram
3208 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3209 # -- Loading package standard
3210 # -- Loading package std_logic_1164
3211 # -- Loading package numeric_std
3212 # -- Loading package mem_pkg
3213 # -- Compiling architecture behaviour of r_w_ram
3214 # -- Loading entity r_w_ram
3215 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3216 # -- Loading package standard
3217 # -- Loading package std_logic_1164
3218 # -- Loading package numeric_std
3219 # -- Compiling entity r_w_ram_be
3220 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3221 # -- Loading package standard
3222 # -- Loading package std_logic_1164
3223 # -- Loading package numeric_std
3224 # -- Loading package mem_pkg
3225 # -- Compiling architecture behaviour of r_w_ram_be
3226 # -- Loading entity r_w_ram_be
3227 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3228 # -- Loading package standard
3229 # -- Loading package std_logic_1164
3230 # -- Loading package numeric_std
3231 # -- Loading package mem_pkg
3232 # -- Compiling entity r2_w_ram
3233 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3234 # -- Loading package standard
3235 # -- Loading package std_logic_1164
3236 # -- Loading package numeric_std
3237 # -- Loading package mem_pkg
3238 # -- Compiling architecture behaviour of r2_w_ram
3239 # -- Loading entity r2_w_ram
3240 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3241 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3242 # -- Loading package standard
3243 # -- Loading package std_logic_1164
3244 # -- Loading package numeric_std
3245 # -- Compiling entity rom
3246 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3247 # -- Loading package standard
3248 # -- Loading package std_logic_1164
3249 # -- Loading package numeric_std
3250 # -- Loading package mem_pkg
3251 # -- Compiling architecture behaviour of rom
3252 # -- Loading entity rom
3253 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3254 # -- Loading package standard
3255 # -- Loading package std_logic_1164
3256 # -- Loading package numeric_std
3257 # -- Compiling package common_pkg
3258 # -- Compiling package body common_pkg
3259 # -- Loading package common_pkg
3260 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3261 # -- Loading package standard
3262 # -- Loading package std_logic_1164
3263 # -- Loading package numeric_std
3264 # -- Loading package common_pkg
3265 # -- Compiling package extension_pkg
3266 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3267 # -- Loading package standard
3268 # -- Loading package std_logic_1164
3269 # -- Loading package numeric_std
3270 # -- Loading package common_pkg
3271 # -- Loading package extension_pkg
3272 # -- Compiling package core_pkg
3273 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3274 # -- Loading package standard
3275 # -- Loading package std_logic_1164
3276 # -- Loading package numeric_std
3277 # -- Loading package common_pkg
3278 # -- Loading package extension_pkg
3279 # -- Compiling package extension_uart_pkg
3280 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3281 # -- Loading package standard
3282 # -- Loading package std_logic_1164
3283 # -- Loading package numeric_std
3284 # -- Loading package common_pkg
3285 # -- Loading package extension_pkg
3286 # -- Loading package extension_uart_pkg
3287 # -- Compiling entity extension_uart
3288 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3289 # -- Loading package standard
3290 # -- Loading package std_logic_1164
3291 # -- Loading package numeric_std
3292 # -- Loading package common_pkg
3293 # -- Loading package extension_pkg
3294 # -- Loading package core_pkg
3295 # -- Loading package mem_pkg
3296 # -- Loading package extension_uart_pkg
3297 # -- Compiling architecture behav of extension_uart
3298 # -- Loading entity extension_uart
3299 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3300 # -- Loading package standard
3301 # -- Loading package std_logic_1164
3302 # -- Loading package numeric_std
3303 # -- Loading package common_pkg
3304 # -- Loading package extension_pkg
3305 # -- Compiling package extension_7seg_pkg
3306 # -- Compiling package body extension_7seg_pkg
3307 # -- Loading package extension_7seg_pkg
3308 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3309 # -- Loading package standard
3310 # -- Loading package std_logic_1164
3311 # -- Loading package numeric_std
3312 # -- Loading package common_pkg
3313 # -- Loading package extension_pkg
3314 # -- Loading package extension_7seg_pkg
3315 # -- Compiling entity extension_7seg
3316 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3317 # -- Loading package standard
3318 # -- Loading package std_logic_1164
3319 # -- Loading package numeric_std
3320 # -- Loading package common_pkg
3321 # -- Loading package extension_pkg
3322 # -- Loading package core_pkg
3323 # -- Loading package mem_pkg
3324 # -- Loading package extension_7seg_pkg
3325 # -- Compiling architecture behav of extension_7seg
3326 # -- Loading entity extension_7seg
3327 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3328 # -- Loading package standard
3329 # -- Loading package std_logic_1164
3330 # -- Loading package std_logic_arith
3331 # -- Loading package std_logic_unsigned
3332 # -- Loading package numeric_std
3333 # -- Loading package common_pkg
3334 # -- Loading package extension_pkg
3335 # -- Loading package core_pkg
3336 # -- Loading package extension_uart_pkg
3337 # -- Compiling entity rs232_tx
3338 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3339 # -- Loading package standard
3340 # -- Loading package std_logic_1164
3341 # -- Loading package numeric_std
3342 # -- Loading package common_pkg
3343 # -- Loading package extension_pkg
3344 # -- Loading package core_pkg
3345 # -- Loading package extension_uart_pkg
3346 # -- Compiling architecture beh of rs232_tx
3347 # -- Loading package std_logic_arith
3348 # -- Loading package std_logic_unsigned
3349 # -- Loading entity rs232_tx
3350 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3351 # -- Loading package standard
3352 # -- Loading package std_logic_1164
3353 # -- Loading package std_logic_arith
3354 # -- Loading package std_logic_unsigned
3355 # -- Loading package numeric_std
3356 # -- Loading package common_pkg
3357 # -- Loading package extension_pkg
3358 # -- Loading package core_pkg
3359 # -- Loading package extension_uart_pkg
3360 # -- Compiling entity rs232_rx
3361 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3362 # -- Loading package standard
3363 # -- Loading package std_logic_1164
3364 # -- Loading package numeric_std
3365 # -- Loading package common_pkg
3366 # -- Loading package extension_pkg
3367 # -- Loading package extension_uart_pkg
3368 # -- Loading package core_pkg
3369 # -- Compiling architecture beh of rs232_rx
3370 # -- Loading package std_logic_arith
3371 # -- Loading package std_logic_unsigned
3372 # -- Loading entity rs232_rx
3373 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3374 # -- Loading package standard
3375 # -- Loading package std_logic_1164
3376 # -- Loading package numeric_std
3377 # -- Loading package common_pkg
3378 # -- Loading package extension_pkg
3379 # -- Loading package core_pkg
3380 # -- Compiling entity decoder
3381 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3382 # -- Loading package standard
3383 # -- Loading package std_logic_1164
3384 # -- Loading package numeric_std
3385 # -- Loading package mem_pkg
3386 # -- Loading package common_pkg
3387 # -- Loading package extension_pkg
3388 # -- Loading package core_pkg
3389 # -- Compiling architecture behav_d of decoder
3390 # -- Loading entity decoder
3391 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3392 # -- Loading package standard
3393 # -- Loading package std_logic_1164
3394 # -- Loading package numeric_std
3395 # -- Loading package common_pkg
3396 # -- Loading package extension_pkg
3397 # -- Loading package core_pkg
3398 # -- Compiling entity fetch_stage
3399 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3400 # -- Loading package standard
3401 # -- Loading package std_logic_1164
3402 # -- Loading package numeric_std
3403 # -- Loading package common_pkg
3404 # -- Loading package extension_pkg
3405 # -- Loading package core_pkg
3406 # -- Loading package mem_pkg
3407 # -- Compiling architecture behav of fetch_stage
3408 # -- Loading entity fetch_stage
3409 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3410 # -- Loading package standard
3411 # -- Loading package std_logic_1164
3412 # -- Loading package numeric_std
3413 # -- Loading package common_pkg
3414 # -- Loading package extension_pkg
3415 # -- Loading package core_pkg
3416 # -- Compiling entity decode_stage
3417 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3418 # -- Loading package standard
3419 # -- Loading package std_logic_1164
3420 # -- Loading package numeric_std
3421 # -- Loading package mem_pkg
3422 # -- Loading package common_pkg
3423 # -- Loading package extension_pkg
3424 # -- Loading package core_pkg
3425 # -- Compiling architecture behav of decode_stage
3426 # -- Loading entity decode_stage
3427 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3428 # -- Loading package standard
3429 # -- Loading package std_logic_1164
3430 # -- Loading package numeric_std
3431 # -- Loading package common_pkg
3432 # -- Loading package extension_pkg
3433 # -- Compiling package alu_pkg
3434 # -- Compiling package body alu_pkg
3435 # -- Loading package alu_pkg
3436 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3437 # -- Loading package standard
3438 # -- Loading package std_logic_1164
3439 # -- Loading package numeric_std
3440 # -- Loading package common_pkg
3441 # -- Compiling package extension_pkg
3442 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3443 # -- Loading package standard
3444 # -- Loading package std_logic_1164
3445 # -- Loading package numeric_std
3446 # -- Loading package common_pkg
3447 # -- Loading package extension_pkg
3448 # -- Loading package alu_pkg
3449 # -- Compiling entity exec_op
3450 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3451 # -- Loading package standard
3452 # -- Loading package std_logic_1164
3453 # -- Loading package numeric_std
3454 # -- Loading package common_pkg
3455 # -- Loading package extension_pkg
3456 # -- Loading package alu_pkg
3457 # -- Compiling architecture add_op of exec_op
3458 # -- Loading entity exec_op
3459 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3460 # -- Loading package standard
3461 # -- Loading package std_logic_1164
3462 # -- Loading package numeric_std
3463 # -- Loading package common_pkg
3464 # -- Loading package extension_pkg
3465 # -- Loading package alu_pkg
3466 # -- Compiling architecture and_op of exec_op
3467 # -- Loading entity exec_op
3468 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3469 # -- Loading package standard
3470 # -- Loading package std_logic_1164
3471 # -- Loading package numeric_std
3472 # -- Loading package common_pkg
3473 # -- Loading package extension_pkg
3474 # -- Loading package alu_pkg
3475 # -- Compiling architecture or_op of exec_op
3476 # -- Loading entity exec_op
3477 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3478 # -- Loading package standard
3479 # -- Loading package std_logic_1164
3480 # -- Loading package numeric_std
3481 # -- Loading package common_pkg
3482 # -- Loading package extension_pkg
3483 # -- Loading package alu_pkg
3484 # -- Compiling architecture xor_op of exec_op
3485 # -- Loading entity exec_op
3486 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3487 # -- Loading package standard
3488 # -- Loading package std_logic_1164
3489 # -- Loading package numeric_std
3490 # -- Loading package common_pkg
3491 # -- Loading package extension_pkg
3492 # -- Loading package alu_pkg
3493 # -- Compiling architecture shift_op of exec_op
3494 # -- Loading entity exec_op
3495 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3496 # -- Loading package standard
3497 # -- Loading package std_logic_1164
3498 # -- Loading package numeric_std
3499 # -- Loading package common_pkg
3500 # -- Loading package extension_pkg
3501 # -- Loading package alu_pkg
3502 # -- Compiling entity alu
3503 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3504 # -- Loading package standard
3505 # -- Loading package std_logic_1164
3506 # -- Loading package numeric_std
3507 # -- Loading package common_pkg
3508 # -- Loading package extension_pkg
3509 # -- Loading package alu_pkg
3510 # -- Compiling architecture behaviour of alu
3511 # -- Loading entity alu
3512 # -- Loading entity exec_op
3513 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3514 # -- Loading package standard
3515 # -- Loading package std_logic_1164
3516 # -- Loading package numeric_std
3517 # -- Loading package common_pkg
3518 # -- Compiling package extension_pkg
3519 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3520 # -- Loading package standard
3521 # -- Loading package std_logic_1164
3522 # -- Loading package numeric_std
3523 # -- Loading package common_pkg
3524 # -- Loading package extension_pkg
3525 # -- Compiling entity extension_gpm
3526 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3527 # -- Loading package standard
3528 # -- Loading package std_logic_1164
3529 # -- Loading package numeric_std
3530 # -- Loading package common_pkg
3531 # -- Loading package extension_pkg
3532 # -- Loading package core_pkg
3533 # -- Loading package mem_pkg
3534 # -- Compiling architecture behav of extension_gpm
3535 # -- Loading entity extension_gpm
3536 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3537 # -- Loading package standard
3538 # -- Loading package std_logic_1164
3539 # -- Loading package numeric_std
3540 # -- Loading package common_pkg
3541 # -- Loading package extension_pkg
3542 # -- Loading package alu_pkg
3543 # -- Compiling entity execute_stage
3544 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3545 # -- Loading package standard
3546 # -- Loading package std_logic_1164
3547 # -- Loading package numeric_std
3548 # -- Loading package common_pkg
3549 # -- Loading package extension_pkg
3550 # -- Loading package alu_pkg
3551 # -- Compiling architecture behav of execute_stage
3552 # -- Loading entity execute_stage
3553 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3554 # -- Loading package standard
3555 # -- Loading package std_logic_1164
3556 # -- Loading package numeric_std
3557 # -- Loading package common_pkg
3558 # -- Compiling entity writeback_stage
3559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3560 # -- Loading package standard
3561 # -- Loading package std_logic_1164
3562 # -- Loading package numeric_std
3563 # -- Loading package common_pkg
3564 # -- Loading package extension_pkg
3565 # -- Loading package core_pkg
3566 # -- Loading package mem_pkg
3567 # -- Loading package extension_uart_pkg
3568 # -- Loading package extension_7seg_pkg
3569 # -- Compiling architecture behav of writeback_stage
3570 # -- Loading entity writeback_stage
3571 # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression.
3572 # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression.
3573 # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression.
3574 # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression.
3575 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3576 # -- Loading package standard
3577 # -- Loading package std_logic_1164
3578 # -- Loading package numeric_std
3579 # -- Loading package common_pkg
3580 # -- Loading package extension_pkg
3581 # -- Loading package core_pkg
3582 # -- Compiling entity pipeline_tb
3583 # -- Compiling architecture behavior of pipeline_tb
3584 # -- Compiling configuration pipeline_conf_beh
3585 # -- Loading entity pipeline_tb
3586 # -- Loading architecture behavior of pipeline_tb
3587 # -- Loading entity fetch_stage
3588 # -- Loading entity decode_stage
3589 # -- Loading package alu_pkg
3590 # -- Loading entity execute_stage
3591 # -- Loading entity writeback_stage
3592 # vsim -t ns work.pipeline_conf_beh 
3593 # Loading std.standard
3594 # Loading ieee.std_logic_1164(body)
3595 # Loading ieee.numeric_std(body)
3596 # Loading work.common_pkg(body)
3597 # Loading work.extension_pkg
3598 # Loading work.core_pkg
3599 # Loading work.alu_pkg(body)
3600 # Loading work.pipeline_conf_beh
3601 # Loading work.pipeline_tb(behavior)
3602 # Loading work.mem_pkg
3603 # Loading work.fetch_stage(behav)
3604 # Loading work.rom(behaviour)
3605 # Loading work.decode_stage(behav)
3606 # Loading work.r2_w_ram(behaviour)
3607 # Loading work.decoder(behav_d)
3608 # Loading work.execute_stage(behav)
3609 # Loading work.alu(behaviour)
3610 # Loading work.exec_op(add_op)
3611 # Loading work.exec_op(and_op)
3612 # Loading work.exec_op(or_op)
3613 # Loading work.exec_op(xor_op)
3614 # Loading work.exec_op(shift_op)
3615 # Loading work.extension_gpm(behav)
3616 # Loading work.extension_uart_pkg
3617 # Loading work.extension_7seg_pkg(body)
3618 # Loading work.writeback_stage(behav)
3619 # Loading work.r_w_ram_be(behaviour)
3620 # Loading work.extension_uart(behav)
3621 # Loading ieee.std_logic_arith(body)
3622 # Loading ieee.std_logic_unsigned(body)
3623 # Loading work.rs232_tx(beh)
3624 # Loading work.rs232_rx(beh)
3625 # Loading work.extension_7seg(behav)
3626 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3627 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3628 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3629 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3630 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3631 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3632 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3633 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3634 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3635 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3636 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3637 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3638 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3639 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3640 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3641 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3642 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3643 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3644 do testcore.do
3645 # ** Warning: (vlib-34) Library already exists at "work".
3646 # Modifying modelsim.ini
3647 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3648 # -- Loading package standard
3649 # -- Loading package std_logic_1164
3650 # -- Loading package numeric_std
3651 # -- Compiling package mem_pkg
3652 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3653 # -- Loading package standard
3654 # -- Loading package std_logic_1164
3655 # -- Loading package numeric_std
3656 # -- Compiling entity r_w_ram
3657 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3658 # -- Loading package standard
3659 # -- Loading package std_logic_1164
3660 # -- Loading package numeric_std
3661 # -- Loading package mem_pkg
3662 # -- Compiling architecture behaviour of r_w_ram
3663 # -- Loading entity r_w_ram
3664 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3665 # -- Loading package standard
3666 # -- Loading package std_logic_1164
3667 # -- Loading package numeric_std
3668 # -- Compiling entity r_w_ram_be
3669 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3670 # -- Loading package standard
3671 # -- Loading package std_logic_1164
3672 # -- Loading package numeric_std
3673 # -- Loading package mem_pkg
3674 # -- Compiling architecture behaviour of r_w_ram_be
3675 # -- Loading entity r_w_ram_be
3676 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3677 # -- Loading package standard
3678 # -- Loading package std_logic_1164
3679 # -- Loading package numeric_std
3680 # -- Loading package mem_pkg
3681 # -- Compiling entity r2_w_ram
3682 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3683 # -- Loading package standard
3684 # -- Loading package std_logic_1164
3685 # -- Loading package numeric_std
3686 # -- Loading package mem_pkg
3687 # -- Compiling architecture behaviour of r2_w_ram
3688 # -- Loading entity r2_w_ram
3689 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3690 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3691 # -- Loading package standard
3692 # -- Loading package std_logic_1164
3693 # -- Loading package numeric_std
3694 # -- Compiling entity rom
3695 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3696 # -- Loading package standard
3697 # -- Loading package std_logic_1164
3698 # -- Loading package numeric_std
3699 # -- Loading package mem_pkg
3700 # -- Compiling architecture behaviour of rom
3701 # -- Loading entity rom
3702 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3703 # -- Loading package standard
3704 # -- Loading package std_logic_1164
3705 # -- Loading package numeric_std
3706 # -- Compiling package common_pkg
3707 # -- Compiling package body common_pkg
3708 # -- Loading package common_pkg
3709 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3710 # -- Loading package standard
3711 # -- Loading package std_logic_1164
3712 # -- Loading package numeric_std
3713 # -- Loading package common_pkg
3714 # -- Compiling package extension_pkg
3715 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3716 # -- Loading package standard
3717 # -- Loading package std_logic_1164
3718 # -- Loading package numeric_std
3719 # -- Loading package common_pkg
3720 # -- Loading package extension_pkg
3721 # -- Compiling package core_pkg
3722 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3723 # -- Loading package standard
3724 # -- Loading package std_logic_1164
3725 # -- Loading package numeric_std
3726 # -- Loading package common_pkg
3727 # -- Loading package extension_pkg
3728 # -- Compiling package extension_uart_pkg
3729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3730 # -- Loading package standard
3731 # -- Loading package std_logic_1164
3732 # -- Loading package numeric_std
3733 # -- Loading package common_pkg
3734 # -- Loading package extension_pkg
3735 # -- Loading package extension_uart_pkg
3736 # -- Compiling entity extension_uart
3737 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3738 # -- Loading package standard
3739 # -- Loading package std_logic_1164
3740 # -- Loading package numeric_std
3741 # -- Loading package common_pkg
3742 # -- Loading package extension_pkg
3743 # -- Loading package core_pkg
3744 # -- Loading package mem_pkg
3745 # -- Loading package extension_uart_pkg
3746 # -- Compiling architecture behav of extension_uart
3747 # -- Loading entity extension_uart
3748 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3749 # -- Loading package standard
3750 # -- Loading package std_logic_1164
3751 # -- Loading package numeric_std
3752 # -- Loading package common_pkg
3753 # -- Loading package extension_pkg
3754 # -- Compiling package extension_7seg_pkg
3755 # -- Compiling package body extension_7seg_pkg
3756 # -- Loading package extension_7seg_pkg
3757 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3758 # -- Loading package standard
3759 # -- Loading package std_logic_1164
3760 # -- Loading package numeric_std
3761 # -- Loading package common_pkg
3762 # -- Loading package extension_pkg
3763 # -- Loading package extension_7seg_pkg
3764 # -- Compiling entity extension_7seg
3765 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3766 # -- Loading package standard
3767 # -- Loading package std_logic_1164
3768 # -- Loading package numeric_std
3769 # -- Loading package common_pkg
3770 # -- Loading package extension_pkg
3771 # -- Loading package core_pkg
3772 # -- Loading package mem_pkg
3773 # -- Loading package extension_7seg_pkg
3774 # -- Compiling architecture behav of extension_7seg
3775 # -- Loading entity extension_7seg
3776 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3777 # -- Loading package standard
3778 # -- Loading package std_logic_1164
3779 # -- Loading package std_logic_arith
3780 # -- Loading package std_logic_unsigned
3781 # -- Loading package numeric_std
3782 # -- Loading package common_pkg
3783 # -- Loading package extension_pkg
3784 # -- Loading package core_pkg
3785 # -- Loading package extension_uart_pkg
3786 # -- Compiling entity rs232_tx
3787 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3788 # -- Loading package standard
3789 # -- Loading package std_logic_1164
3790 # -- Loading package numeric_std
3791 # -- Loading package common_pkg
3792 # -- Loading package extension_pkg
3793 # -- Loading package core_pkg
3794 # -- Loading package extension_uart_pkg
3795 # -- Compiling architecture beh of rs232_tx
3796 # -- Loading package std_logic_arith
3797 # -- Loading package std_logic_unsigned
3798 # -- Loading entity rs232_tx
3799 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3800 # -- Loading package standard
3801 # -- Loading package std_logic_1164
3802 # -- Loading package std_logic_arith
3803 # -- Loading package std_logic_unsigned
3804 # -- Loading package numeric_std
3805 # -- Loading package common_pkg
3806 # -- Loading package extension_pkg
3807 # -- Loading package core_pkg
3808 # -- Loading package extension_uart_pkg
3809 # -- Compiling entity rs232_rx
3810 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3811 # -- Loading package standard
3812 # -- Loading package std_logic_1164
3813 # -- Loading package numeric_std
3814 # -- Loading package common_pkg
3815 # -- Loading package extension_pkg
3816 # -- Loading package extension_uart_pkg
3817 # -- Loading package core_pkg
3818 # -- Compiling architecture beh of rs232_rx
3819 # -- Loading package std_logic_arith
3820 # -- Loading package std_logic_unsigned
3821 # -- Loading entity rs232_rx
3822 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3823 # -- Loading package standard
3824 # -- Loading package std_logic_1164
3825 # -- Loading package numeric_std
3826 # -- Loading package common_pkg
3827 # -- Loading package extension_pkg
3828 # -- Loading package core_pkg
3829 # -- Compiling entity decoder
3830 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3831 # -- Loading package standard
3832 # -- Loading package std_logic_1164
3833 # -- Loading package numeric_std
3834 # -- Loading package mem_pkg
3835 # -- Loading package common_pkg
3836 # -- Loading package extension_pkg
3837 # -- Loading package core_pkg
3838 # -- Compiling architecture behav_d of decoder
3839 # -- Loading entity decoder
3840 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3841 # -- Loading package standard
3842 # -- Loading package std_logic_1164
3843 # -- Loading package numeric_std
3844 # -- Loading package common_pkg
3845 # -- Loading package extension_pkg
3846 # -- Loading package core_pkg
3847 # -- Compiling entity fetch_stage
3848 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3849 # -- Loading package standard
3850 # -- Loading package std_logic_1164
3851 # -- Loading package numeric_std
3852 # -- Loading package common_pkg
3853 # -- Loading package extension_pkg
3854 # -- Loading package core_pkg
3855 # -- Loading package mem_pkg
3856 # -- Compiling architecture behav of fetch_stage
3857 # -- Loading entity fetch_stage
3858 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3859 # -- Loading package standard
3860 # -- Loading package std_logic_1164
3861 # -- Loading package numeric_std
3862 # -- Loading package common_pkg
3863 # -- Loading package extension_pkg
3864 # -- Loading package core_pkg
3865 # -- Compiling entity decode_stage
3866 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3867 # -- Loading package standard
3868 # -- Loading package std_logic_1164
3869 # -- Loading package numeric_std
3870 # -- Loading package mem_pkg
3871 # -- Loading package common_pkg
3872 # -- Loading package extension_pkg
3873 # -- Loading package core_pkg
3874 # -- Compiling architecture behav of decode_stage
3875 # -- Loading entity decode_stage
3876 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3877 # -- Loading package standard
3878 # -- Loading package std_logic_1164
3879 # -- Loading package numeric_std
3880 # -- Loading package common_pkg
3881 # -- Loading package extension_pkg
3882 # -- Compiling package alu_pkg
3883 # -- Compiling package body alu_pkg
3884 # -- Loading package alu_pkg
3885 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3886 # -- Loading package standard
3887 # -- Loading package std_logic_1164
3888 # -- Loading package numeric_std
3889 # -- Loading package common_pkg
3890 # -- Compiling package extension_pkg
3891 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3892 # -- Loading package standard
3893 # -- Loading package std_logic_1164
3894 # -- Loading package numeric_std
3895 # -- Loading package common_pkg
3896 # -- Loading package extension_pkg
3897 # -- Loading package alu_pkg
3898 # -- Compiling entity exec_op
3899 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3900 # -- Loading package standard
3901 # -- Loading package std_logic_1164
3902 # -- Loading package numeric_std
3903 # -- Loading package common_pkg
3904 # -- Loading package extension_pkg
3905 # -- Loading package alu_pkg
3906 # -- Compiling architecture add_op of exec_op
3907 # -- Loading entity exec_op
3908 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3909 # -- Loading package standard
3910 # -- Loading package std_logic_1164
3911 # -- Loading package numeric_std
3912 # -- Loading package common_pkg
3913 # -- Loading package extension_pkg
3914 # -- Loading package alu_pkg
3915 # -- Compiling architecture and_op of exec_op
3916 # -- Loading entity exec_op
3917 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3918 # -- Loading package standard
3919 # -- Loading package std_logic_1164
3920 # -- Loading package numeric_std
3921 # -- Loading package common_pkg
3922 # -- Loading package extension_pkg
3923 # -- Loading package alu_pkg
3924 # -- Compiling architecture or_op of exec_op
3925 # -- Loading entity exec_op
3926 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3927 # -- Loading package standard
3928 # -- Loading package std_logic_1164
3929 # -- Loading package numeric_std
3930 # -- Loading package common_pkg
3931 # -- Loading package extension_pkg
3932 # -- Loading package alu_pkg
3933 # -- Compiling architecture xor_op of exec_op
3934 # -- Loading entity exec_op
3935 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3936 # -- Loading package standard
3937 # -- Loading package std_logic_1164
3938 # -- Loading package numeric_std
3939 # -- Loading package common_pkg
3940 # -- Loading package extension_pkg
3941 # -- Loading package alu_pkg
3942 # -- Compiling architecture shift_op of exec_op
3943 # -- Loading entity exec_op
3944 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3945 # -- Loading package standard
3946 # -- Loading package std_logic_1164
3947 # -- Loading package numeric_std
3948 # -- Loading package common_pkg
3949 # -- Loading package extension_pkg
3950 # -- Loading package alu_pkg
3951 # -- Compiling entity alu
3952 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3953 # -- Loading package standard
3954 # -- Loading package std_logic_1164
3955 # -- Loading package numeric_std
3956 # -- Loading package common_pkg
3957 # -- Loading package extension_pkg
3958 # -- Loading package alu_pkg
3959 # -- Compiling architecture behaviour of alu
3960 # -- Loading entity alu
3961 # -- Loading entity exec_op
3962 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3963 # -- Loading package standard
3964 # -- Loading package std_logic_1164
3965 # -- Loading package numeric_std
3966 # -- Loading package common_pkg
3967 # -- Compiling package extension_pkg
3968 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3969 # -- Loading package standard
3970 # -- Loading package std_logic_1164
3971 # -- Loading package numeric_std
3972 # -- Loading package common_pkg
3973 # -- Loading package extension_pkg
3974 # -- Compiling entity extension_gpm
3975 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3976 # -- Loading package standard
3977 # -- Loading package std_logic_1164
3978 # -- Loading package numeric_std
3979 # -- Loading package common_pkg
3980 # -- Loading package extension_pkg
3981 # -- Loading package core_pkg
3982 # -- Loading package mem_pkg
3983 # -- Compiling architecture behav of extension_gpm
3984 # -- Loading entity extension_gpm
3985 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3986 # -- Loading package standard
3987 # -- Loading package std_logic_1164
3988 # -- Loading package numeric_std
3989 # -- Loading package common_pkg
3990 # -- Loading package extension_pkg
3991 # -- Loading package alu_pkg
3992 # -- Compiling entity execute_stage
3993 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3994 # -- Loading package standard
3995 # -- Loading package std_logic_1164
3996 # -- Loading package numeric_std
3997 # -- Loading package common_pkg
3998 # -- Loading package extension_pkg
3999 # -- Loading package alu_pkg
4000 # -- Compiling architecture behav of execute_stage
4001 # -- Loading entity execute_stage
4002 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4003 # -- Loading package standard
4004 # -- Loading package std_logic_1164
4005 # -- Loading package numeric_std
4006 # -- Loading package common_pkg
4007 # -- Compiling entity writeback_stage
4008 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4009 # -- Loading package standard
4010 # -- Loading package std_logic_1164
4011 # -- Loading package numeric_std
4012 # -- Loading package common_pkg
4013 # -- Loading package extension_pkg
4014 # -- Loading package core_pkg
4015 # -- Loading package mem_pkg
4016 # -- Loading package extension_uart_pkg
4017 # -- Loading package extension_7seg_pkg
4018 # -- Compiling architecture behav of writeback_stage
4019 # -- Loading entity writeback_stage
4020 # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression.
4021 # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression.
4022 # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression.
4023 # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression.
4024 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4025 # -- Loading package standard
4026 # -- Loading package std_logic_1164
4027 # -- Loading package numeric_std
4028 # -- Loading package common_pkg
4029 # -- Loading package extension_pkg
4030 # -- Loading package core_pkg
4031 # -- Compiling entity pipeline_tb
4032 # -- Compiling architecture behavior of pipeline_tb
4033 # -- Compiling configuration pipeline_conf_beh
4034 # -- Loading entity pipeline_tb
4035 # -- Loading architecture behavior of pipeline_tb
4036 # -- Loading entity fetch_stage
4037 # -- Loading entity decode_stage
4038 # -- Loading package alu_pkg
4039 # -- Loading entity execute_stage
4040 # -- Loading entity writeback_stage
4041 # vsim -t ns work.pipeline_conf_beh 
4042 # Loading std.standard
4043 # Loading ieee.std_logic_1164(body)
4044 # Loading ieee.numeric_std(body)
4045 # Loading work.common_pkg(body)
4046 # Loading work.extension_pkg
4047 # Loading work.core_pkg
4048 # Loading work.alu_pkg(body)
4049 # Loading work.pipeline_conf_beh
4050 # Loading work.pipeline_tb(behavior)
4051 # Loading work.mem_pkg
4052 # Loading work.fetch_stage(behav)
4053 # Loading work.rom(behaviour)
4054 # Loading work.decode_stage(behav)
4055 # Loading work.r2_w_ram(behaviour)
4056 # Loading work.decoder(behav_d)
4057 # Loading work.execute_stage(behav)
4058 # Loading work.alu(behaviour)
4059 # Loading work.exec_op(add_op)
4060 # Loading work.exec_op(and_op)
4061 # Loading work.exec_op(or_op)
4062 # Loading work.exec_op(xor_op)
4063 # Loading work.exec_op(shift_op)
4064 # Loading work.extension_gpm(behav)
4065 # Loading work.extension_uart_pkg
4066 # Loading work.extension_7seg_pkg(body)
4067 # Loading work.writeback_stage(behav)
4068 # Loading work.r_w_ram_be(behaviour)
4069 # Loading work.extension_uart(behav)
4070 # Loading ieee.std_logic_arith(body)
4071 # Loading ieee.std_logic_unsigned(body)
4072 # Loading work.rs232_tx(beh)
4073 # Loading work.rs232_rx(beh)
4074 # Loading work.extension_7seg(behav)
4075 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4076 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
4077 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4078 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4079 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4080 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4081 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4082 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
4083 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4084 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4085 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4086 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4087 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4088 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4089 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4090 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4091 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4092 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
4093 do testcore.do
4094 # ** Warning: (vlib-34) Library already exists at "work".
4095 # Modifying modelsim.ini
4096 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4097 # -- Loading package standard
4098 # -- Loading package std_logic_1164
4099 # -- Loading package numeric_std
4100 # -- Compiling package mem_pkg
4101 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4102 # -- Loading package standard
4103 # -- Loading package std_logic_1164
4104 # -- Loading package numeric_std
4105 # -- Compiling entity r_w_ram
4106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4107 # -- Loading package standard
4108 # -- Loading package std_logic_1164
4109 # -- Loading package numeric_std
4110 # -- Loading package mem_pkg
4111 # -- Compiling architecture behaviour of r_w_ram
4112 # -- Loading entity r_w_ram
4113 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4114 # -- Loading package standard
4115 # -- Loading package std_logic_1164
4116 # -- Loading package numeric_std
4117 # -- Compiling entity r_w_ram_be
4118 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4119 # -- Loading package standard
4120 # -- Loading package std_logic_1164
4121 # -- Loading package numeric_std
4122 # -- Loading package mem_pkg
4123 # -- Compiling architecture behaviour of r_w_ram_be
4124 # -- Loading entity r_w_ram_be
4125 # ** Error: ../src/r_w_ram_be_b.vhd(12): near ";": expecting ')'
4126 # ** Error: ../src/r_w_ram_be_b.vhd(25): Illegal target for signal assignment.
4127 # ** Error: ../src/r_w_ram_be_b.vhd(25): (vcom-1136) Unknown identifier "ram".
4128 # ** Error: ../src/r_w_ram_be_b.vhd(28): Illegal target for signal assignment.
4129 # ** Error: ../src/r_w_ram_be_b.vhd(28): (vcom-1136) Unknown identifier "ram".
4130 # ** Error: ../src/r_w_ram_be_b.vhd(31): Illegal target for signal assignment.
4131 # ** Error: ../src/r_w_ram_be_b.vhd(31): (vcom-1136) Unknown identifier "ram".
4132 # ** Error: ../src/r_w_ram_be_b.vhd(34): Illegal target for signal assignment.
4133 # ** Error: ../src/r_w_ram_be_b.vhd(34): (vcom-1136) Unknown identifier "ram".
4134 # ** Error: ../src/r_w_ram_be_b.vhd(37): (vcom-1136) Unknown identifier "ram".
4135 # ** Error: ../src/r_w_ram_be_b.vhd(41): VHDL Compiler exiting
4136 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
4137 # Error in macro ./testcore.do line 8
4138 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
4139 #     while executing
4140 # "vcom -work work ../src/r_w_ram_be_b.vhd"
4141 do testcore.do
4142 # ** Warning: (vlib-34) Library already exists at "work".
4143 # Modifying modelsim.ini
4144 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4145 # -- Loading package standard
4146 # -- Loading package std_logic_1164
4147 # -- Loading package numeric_std
4148 # -- Compiling package mem_pkg
4149 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4150 # -- Loading package standard
4151 # -- Loading package std_logic_1164
4152 # -- Loading package numeric_std
4153 # -- Compiling entity r_w_ram
4154 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4155 # -- Loading package standard
4156 # -- Loading package std_logic_1164
4157 # -- Loading package numeric_std
4158 # -- Loading package mem_pkg
4159 # -- Compiling architecture behaviour of r_w_ram
4160 # -- Loading entity r_w_ram
4161 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4162 # -- Loading package standard
4163 # -- Loading package std_logic_1164
4164 # -- Loading package numeric_std
4165 # -- Compiling entity r_w_ram_be
4166 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4167 # -- Loading package standard
4168 # -- Loading package std_logic_1164
4169 # -- Loading package numeric_std
4170 # -- Loading package mem_pkg
4171 # -- Compiling architecture behaviour of r_w_ram_be
4172 # -- Loading entity r_w_ram_be
4173 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4174 # -- Loading package standard
4175 # -- Loading package std_logic_1164
4176 # -- Loading package numeric_std
4177 # -- Loading package mem_pkg
4178 # -- Compiling entity r2_w_ram
4179 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4180 # -- Loading package standard
4181 # -- Loading package std_logic_1164
4182 # -- Loading package numeric_std
4183 # -- Loading package mem_pkg
4184 # -- Compiling architecture behaviour of r2_w_ram
4185 # -- Loading entity r2_w_ram
4186 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4187 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4188 # -- Loading package standard
4189 # -- Loading package std_logic_1164
4190 # -- Loading package numeric_std
4191 # -- Compiling entity rom
4192 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4193 # -- Loading package standard
4194 # -- Loading package std_logic_1164
4195 # -- Loading package numeric_std
4196 # -- Loading package mem_pkg
4197 # -- Compiling architecture behaviour of rom
4198 # -- Loading entity rom
4199 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4200 # -- Loading package standard
4201 # -- Loading package std_logic_1164
4202 # -- Loading package numeric_std
4203 # -- Compiling package common_pkg
4204 # -- Compiling package body common_pkg
4205 # -- Loading package common_pkg
4206 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4207 # -- Loading package standard
4208 # -- Loading package std_logic_1164
4209 # -- Loading package numeric_std
4210 # -- Loading package common_pkg
4211 # -- Compiling package extension_pkg
4212 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4213 # -- Loading package standard
4214 # -- Loading package std_logic_1164
4215 # -- Loading package numeric_std
4216 # -- Loading package common_pkg
4217 # -- Loading package extension_pkg
4218 # -- Compiling package core_pkg
4219 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4220 # -- Loading package standard
4221 # -- Loading package std_logic_1164
4222 # -- Loading package numeric_std
4223 # -- Loading package common_pkg
4224 # -- Loading package extension_pkg
4225 # -- Compiling package extension_uart_pkg
4226 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4227 # -- Loading package standard
4228 # -- Loading package std_logic_1164
4229 # -- Loading package numeric_std
4230 # -- Loading package common_pkg
4231 # -- Loading package extension_pkg
4232 # -- Loading package extension_uart_pkg
4233 # -- Compiling entity extension_uart
4234 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4235 # -- Loading package standard
4236 # -- Loading package std_logic_1164
4237 # -- Loading package numeric_std
4238 # -- Loading package common_pkg
4239 # -- Loading package extension_pkg
4240 # -- Loading package core_pkg
4241 # -- Loading package mem_pkg
4242 # -- Loading package extension_uart_pkg
4243 # -- Compiling architecture behav of extension_uart
4244 # -- Loading entity extension_uart
4245 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4246 # -- Loading package standard
4247 # -- Loading package std_logic_1164
4248 # -- Loading package numeric_std
4249 # -- Loading package common_pkg
4250 # -- Loading package extension_pkg
4251 # -- Compiling package extension_7seg_pkg
4252 # -- Compiling package body extension_7seg_pkg
4253 # -- Loading package extension_7seg_pkg
4254 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4255 # -- Loading package standard
4256 # -- Loading package std_logic_1164
4257 # -- Loading package numeric_std
4258 # -- Loading package common_pkg
4259 # -- Loading package extension_pkg
4260 # -- Loading package extension_7seg_pkg
4261 # -- Compiling entity extension_7seg
4262 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4263 # -- Loading package standard
4264 # -- Loading package std_logic_1164
4265 # -- Loading package numeric_std
4266 # -- Loading package common_pkg
4267 # -- Loading package extension_pkg
4268 # -- Loading package core_pkg
4269 # -- Loading package mem_pkg
4270 # -- Loading package extension_7seg_pkg
4271 # -- Compiling architecture behav of extension_7seg
4272 # -- Loading entity extension_7seg
4273 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4274 # -- Loading package standard
4275 # -- Loading package std_logic_1164
4276 # -- Loading package std_logic_arith
4277 # -- Loading package std_logic_unsigned
4278 # -- Loading package numeric_std
4279 # -- Loading package common_pkg
4280 # -- Loading package extension_pkg
4281 # -- Loading package core_pkg
4282 # -- Loading package extension_uart_pkg
4283 # -- Compiling entity rs232_tx
4284 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4285 # -- Loading package standard
4286 # -- Loading package std_logic_1164
4287 # -- Loading package numeric_std
4288 # -- Loading package common_pkg
4289 # -- Loading package extension_pkg
4290 # -- Loading package core_pkg
4291 # -- Loading package extension_uart_pkg
4292 # -- Compiling architecture beh of rs232_tx
4293 # -- Loading package std_logic_arith
4294 # -- Loading package std_logic_unsigned
4295 # -- Loading entity rs232_tx
4296 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4297 # -- Loading package standard
4298 # -- Loading package std_logic_1164
4299 # -- Loading package std_logic_arith
4300 # -- Loading package std_logic_unsigned
4301 # -- Loading package numeric_std
4302 # -- Loading package common_pkg
4303 # -- Loading package extension_pkg
4304 # -- Loading package core_pkg
4305 # -- Loading package extension_uart_pkg
4306 # -- Compiling entity rs232_rx
4307 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4308 # -- Loading package standard
4309 # -- Loading package std_logic_1164
4310 # -- Loading package numeric_std
4311 # -- Loading package common_pkg
4312 # -- Loading package extension_pkg
4313 # -- Loading package extension_uart_pkg
4314 # -- Loading package core_pkg
4315 # -- Compiling architecture beh of rs232_rx
4316 # -- Loading package std_logic_arith
4317 # -- Loading package std_logic_unsigned
4318 # -- Loading entity rs232_rx
4319 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4320 # -- Loading package standard
4321 # -- Loading package std_logic_1164
4322 # -- Loading package numeric_std
4323 # -- Loading package common_pkg
4324 # -- Loading package extension_pkg
4325 # -- Loading package core_pkg
4326 # -- Compiling entity decoder
4327 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4328 # -- Loading package standard
4329 # -- Loading package std_logic_1164
4330 # -- Loading package numeric_std
4331 # -- Loading package mem_pkg
4332 # -- Loading package common_pkg
4333 # -- Loading package extension_pkg
4334 # -- Loading package core_pkg
4335 # -- Compiling architecture behav_d of decoder
4336 # -- Loading entity decoder
4337 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4338 # -- Loading package standard
4339 # -- Loading package std_logic_1164
4340 # -- Loading package numeric_std
4341 # -- Loading package common_pkg
4342 # -- Loading package extension_pkg
4343 # -- Loading package core_pkg
4344 # -- Compiling entity fetch_stage
4345 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4346 # -- Loading package standard
4347 # -- Loading package std_logic_1164
4348 # -- Loading package numeric_std
4349 # -- Loading package common_pkg
4350 # -- Loading package extension_pkg
4351 # -- Loading package core_pkg
4352 # -- Loading package mem_pkg
4353 # -- Compiling architecture behav of fetch_stage
4354 # -- Loading entity fetch_stage
4355 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4356 # -- Loading package standard
4357 # -- Loading package std_logic_1164
4358 # -- Loading package numeric_std
4359 # -- Loading package common_pkg
4360 # -- Loading package extension_pkg
4361 # -- Loading package core_pkg
4362 # -- Compiling entity decode_stage
4363 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4364 # -- Loading package standard
4365 # -- Loading package std_logic_1164
4366 # -- Loading package numeric_std
4367 # -- Loading package mem_pkg
4368 # -- Loading package common_pkg
4369 # -- Loading package extension_pkg
4370 # -- Loading package core_pkg
4371 # -- Compiling architecture behav of decode_stage
4372 # -- Loading entity decode_stage
4373 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4374 # -- Loading package standard
4375 # -- Loading package std_logic_1164
4376 # -- Loading package numeric_std
4377 # -- Loading package common_pkg
4378 # -- Loading package extension_pkg
4379 # -- Compiling package alu_pkg
4380 # -- Compiling package body alu_pkg
4381 # -- Loading package alu_pkg
4382 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4383 # -- Loading package standard
4384 # -- Loading package std_logic_1164
4385 # -- Loading package numeric_std
4386 # -- Loading package common_pkg
4387 # -- Compiling package extension_pkg
4388 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4389 # -- Loading package standard
4390 # -- Loading package std_logic_1164
4391 # -- Loading package numeric_std
4392 # -- Loading package common_pkg
4393 # -- Loading package extension_pkg
4394 # -- Loading package alu_pkg
4395 # -- Compiling entity exec_op
4396 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4397 # -- Loading package standard
4398 # -- Loading package std_logic_1164
4399 # -- Loading package numeric_std
4400 # -- Loading package common_pkg
4401 # -- Loading package extension_pkg
4402 # -- Loading package alu_pkg
4403 # -- Compiling architecture add_op of exec_op
4404 # -- Loading entity exec_op
4405 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4406 # -- Loading package standard
4407 # -- Loading package std_logic_1164
4408 # -- Loading package numeric_std
4409 # -- Loading package common_pkg
4410 # -- Loading package extension_pkg
4411 # -- Loading package alu_pkg
4412 # -- Compiling architecture and_op of exec_op
4413 # -- Loading entity exec_op
4414 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4415 # -- Loading package standard
4416 # -- Loading package std_logic_1164
4417 # -- Loading package numeric_std
4418 # -- Loading package common_pkg
4419 # -- Loading package extension_pkg
4420 # -- Loading package alu_pkg
4421 # -- Compiling architecture or_op of exec_op
4422 # -- Loading entity exec_op
4423 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4424 # -- Loading package standard
4425 # -- Loading package std_logic_1164
4426 # -- Loading package numeric_std
4427 # -- Loading package common_pkg
4428 # -- Loading package extension_pkg
4429 # -- Loading package alu_pkg
4430 # -- Compiling architecture xor_op of exec_op
4431 # -- Loading entity exec_op
4432 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4433 # -- Loading package standard
4434 # -- Loading package std_logic_1164
4435 # -- Loading package numeric_std
4436 # -- Loading package common_pkg
4437 # -- Loading package extension_pkg
4438 # -- Loading package alu_pkg
4439 # -- Compiling architecture shift_op of exec_op
4440 # -- Loading entity exec_op
4441 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4442 # -- Loading package standard
4443 # -- Loading package std_logic_1164
4444 # -- Loading package numeric_std
4445 # -- Loading package common_pkg
4446 # -- Loading package extension_pkg
4447 # -- Loading package alu_pkg
4448 # -- Compiling entity alu
4449 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4450 # -- Loading package standard
4451 # -- Loading package std_logic_1164
4452 # -- Loading package numeric_std
4453 # -- Loading package common_pkg
4454 # -- Loading package extension_pkg
4455 # -- Loading package alu_pkg
4456 # -- Compiling architecture behaviour of alu
4457 # -- Loading entity alu
4458 # -- Loading entity exec_op
4459 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4460 # -- Loading package standard
4461 # -- Loading package std_logic_1164
4462 # -- Loading package numeric_std
4463 # -- Loading package common_pkg
4464 # -- Compiling package extension_pkg
4465 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4466 # -- Loading package standard
4467 # -- Loading package std_logic_1164
4468 # -- Loading package numeric_std
4469 # -- Loading package common_pkg
4470 # -- Loading package extension_pkg
4471 # -- Compiling entity extension_gpm
4472 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4473 # -- Loading package standard
4474 # -- Loading package std_logic_1164
4475 # -- Loading package numeric_std
4476 # -- Loading package common_pkg
4477 # -- Loading package extension_pkg
4478 # -- Loading package core_pkg
4479 # -- Loading package mem_pkg
4480 # -- Compiling architecture behav of extension_gpm
4481 # -- Loading entity extension_gpm
4482 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4483 # -- Loading package standard
4484 # -- Loading package std_logic_1164
4485 # -- Loading package numeric_std
4486 # -- Loading package common_pkg
4487 # -- Loading package extension_pkg
4488 # -- Loading package alu_pkg
4489 # -- Compiling entity execute_stage
4490 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4491 # -- Loading package standard
4492 # -- Loading package std_logic_1164
4493 # -- Loading package numeric_std
4494 # -- Loading package common_pkg
4495 # -- Loading package extension_pkg
4496 # -- Loading package alu_pkg
4497 # -- Compiling architecture behav of execute_stage
4498 # -- Loading entity execute_stage
4499 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4500 # -- Loading package standard
4501 # -- Loading package std_logic_1164
4502 # -- Loading package numeric_std
4503 # -- Loading package common_pkg
4504 # -- Compiling entity writeback_stage
4505 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4506 # -- Loading package standard
4507 # -- Loading package std_logic_1164
4508 # -- Loading package numeric_std
4509 # -- Loading package common_pkg
4510 # -- Loading package extension_pkg
4511 # -- Loading package core_pkg
4512 # -- Loading package mem_pkg
4513 # -- Loading package extension_uart_pkg
4514 # -- Loading package extension_7seg_pkg
4515 # -- Compiling architecture behav of writeback_stage
4516 # -- Loading entity writeback_stage
4517 # ** Warning: ../src/writeback_stage_b.vhd(307): Case choice must be a locally static expression.
4518 # ** Warning: ../src/writeback_stage_b.vhd(323): Case choice must be a locally static expression.
4519 # ** Warning: ../src/writeback_stage_b.vhd(341): Case choice must be a locally static expression.
4520 # ** Warning: ../src/writeback_stage_b.vhd(354): Case choice must be a locally static expression.
4521 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4522 # -- Loading package standard
4523 # -- Loading package std_logic_1164
4524 # -- Loading package numeric_std
4525 # -- Loading package common_pkg
4526 # -- Loading package extension_pkg
4527 # -- Loading package core_pkg
4528 # -- Compiling entity pipeline_tb
4529 # -- Compiling architecture behavior of pipeline_tb
4530 # -- Compiling configuration pipeline_conf_beh
4531 # -- Loading entity pipeline_tb
4532 # -- Loading architecture behavior of pipeline_tb
4533 # -- Loading entity fetch_stage
4534 # -- Loading entity decode_stage
4535 # -- Loading package alu_pkg
4536 # -- Loading entity execute_stage
4537 # -- Loading entity writeback_stage
4538 # vsim -t ns work.pipeline_conf_beh 
4539 # Loading std.standard
4540 # Loading ieee.std_logic_1164(body)
4541 # Loading ieee.numeric_std(body)
4542 # Loading work.common_pkg(body)
4543 # Loading work.extension_pkg
4544 # Loading work.core_pkg
4545 # Loading work.alu_pkg(body)
4546 # Loading work.pipeline_conf_beh
4547 # Loading work.pipeline_tb(behavior)
4548 # Loading work.mem_pkg
4549 # Loading work.fetch_stage(behav)
4550 # Loading work.rom(behaviour)
4551 # Loading work.decode_stage(behav)
4552 # Loading work.r2_w_ram(behaviour)
4553 # Loading work.decoder(behav_d)
4554 # Loading work.execute_stage(behav)
4555 # Loading work.alu(behaviour)
4556 # Loading work.exec_op(add_op)
4557 # Loading work.exec_op(and_op)
4558 # Loading work.exec_op(or_op)
4559 # Loading work.exec_op(xor_op)
4560 # Loading work.exec_op(shift_op)
4561 # Loading work.extension_gpm(behav)
4562 # Loading work.extension_uart_pkg
4563 # Loading work.extension_7seg_pkg(body)
4564 # Loading work.writeback_stage(behav)
4565 # Loading work.r_w_ram_be(behaviour)
4566 # Loading work.extension_uart(behav)
4567 # Loading ieee.std_logic_arith(body)
4568 # Loading ieee.std_logic_unsigned(body)
4569 # Loading work.rs232_tx(beh)
4570 # Loading work.rs232_rx(beh)
4571 # Loading work.extension_7seg(behav)
4572 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4573 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
4574 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4575 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4576 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4577 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4578 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4579 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
4580 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4581 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4582 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4583 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4584 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4585 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4586 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4587 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4588 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4589 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram