32d3de3c7a1c3fdd7b85ff0ca54063578539c241
[calu.git] / 3a_asm / transcript
1 ls
2 # Control
3 # doc
4 # dtas
5 # DTFormat.hi
6 # DTFormat.hs
7 # DTFormat.o
8 # DT.hi
9 # DT.hs
10 # DT.o
11 # Expr_eval.hi
12 # Expr_eval.hs
13 # Expr_eval.o
14 # Main.hi
15 # Main.hs
16 # Main.o
17 # Makefile
18 # notes
19 # Text
20 # transcript
21 # tst
22 cd ..
23 ls
24 # 1_isacmp
25 # 2_isa
26 # 3a_asm
27 # 3_asmsim
28 # 3b_sim
29 # 3c_disasm
30 # 3_test
31 # 4_block
32 # 8_benchs
33 # cpu
34 # dt
35 # isasty
36 # transcript
37 cd cpu
38 cd sim
39 # reading modelsim.ini
40 ls
41 # modelsim.ini
42 # testcore1.do
43 # testcore.do
44 # vsim.wlf
45 # wave.do
46 # work
47 do testcore.do
48 # ** Warning: (vlib-34) Library already exists at "work".
49 # Modifying modelsim.ini
50 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
51 # -- Loading package standard
52 # -- Loading package std_logic_1164
53 # -- Loading package numeric_std
54 # -- Compiling package mem_pkg
55 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
56 # -- Loading package standard
57 # -- Loading package std_logic_1164
58 # -- Loading package numeric_std
59 # -- Compiling entity r_w_ram
60 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
61 # -- Loading package standard
62 # -- Loading package std_logic_1164
63 # -- Loading package numeric_std
64 # -- Loading package mem_pkg
65 # -- Compiling architecture behaviour of r_w_ram
66 # -- Loading entity r_w_ram
67 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
68 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
69 # -- Loading package standard
70 # -- Loading package std_logic_1164
71 # -- Loading package numeric_std
72 # -- Loading package mem_pkg
73 # -- Compiling entity r2_w_ram
74 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
75 # -- Loading package standard
76 # -- Loading package std_logic_1164
77 # -- Loading package numeric_std
78 # -- Loading package mem_pkg
79 # -- Compiling architecture behaviour of r2_w_ram
80 # -- Loading entity r2_w_ram
81 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
82 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
83 # -- Loading package standard
84 # -- Loading package std_logic_1164
85 # -- Loading package numeric_std
86 # -- Compiling package common_pkg
87 # -- Compiling package body common_pkg
88 # -- Loading package common_pkg
89 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
90 # -- Loading package standard
91 # -- Loading package std_logic_1164
92 # -- Loading package numeric_std
93 # -- Loading package common_pkg
94 # -- Compiling package extension_pkg
95 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
96 # -- Loading package standard
97 # -- Loading package std_logic_1164
98 # -- Loading package numeric_std
99 # -- Loading package common_pkg
100 # -- Loading package extension_pkg
101 # -- Compiling package extension_uart_pkg
102 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
103 # -- Loading package standard
104 # -- Loading package std_logic_1164
105 # -- Loading package numeric_std
106 # -- Loading package common_pkg
107 # -- Loading package extension_pkg
108 # -- Loading package extension_uart_pkg
109 # -- Compiling entity extension_uart
110 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
111 # -- Loading package standard
112 # -- Loading package std_logic_1164
113 # -- Loading package numeric_std
114 # -- Loading package common_pkg
115 # ** Error: (vcom-13) Recompile work.core_pkg because work.extension_pkg has changed.
116 # ** Error: ../src/extension_uart_b.vhd(6): (vcom-1195) Cannot find expanded name "work.core_pkg".
117 # ** Error: ../src/extension_uart_b.vhd(6): Unknown expanded name.
118 # -- Loading package mem_pkg
119 # -- Loading package extension_pkg
120 # -- Loading package extension_uart_pkg
121 # ** Error: ../src/extension_uart_b.vhd(12): VHDL Compiler exiting
122 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
123 # Error in macro ./testcore.do line 13
124 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
125 #     while executing
126 # "vcom -work work ../src/extension_uart_b.vhd"
127 do testcore.do
128 # ** Warning: (vlib-34) Library already exists at "work".
129 # Modifying modelsim.ini
130 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
131 # -- Loading package standard
132 # -- Loading package std_logic_1164
133 # -- Loading package numeric_std
134 # -- Compiling package mem_pkg
135 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
136 # -- Loading package standard
137 # -- Loading package std_logic_1164
138 # -- Loading package numeric_std
139 # -- Compiling entity r_w_ram
140 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
141 # -- Loading package standard
142 # -- Loading package std_logic_1164
143 # -- Loading package numeric_std
144 # -- Loading package mem_pkg
145 # -- Compiling architecture behaviour of r_w_ram
146 # -- Loading entity r_w_ram
147 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
148 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
149 # -- Loading package standard
150 # -- Loading package std_logic_1164
151 # -- Loading package numeric_std
152 # -- Loading package mem_pkg
153 # -- Compiling entity r2_w_ram
154 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
155 # -- Loading package standard
156 # -- Loading package std_logic_1164
157 # -- Loading package numeric_std
158 # -- Loading package mem_pkg
159 # -- Compiling architecture behaviour of r2_w_ram
160 # -- Loading entity r2_w_ram
161 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
162 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
163 # -- Loading package standard
164 # -- Loading package std_logic_1164
165 # -- Loading package numeric_std
166 # -- Compiling package common_pkg
167 # -- Compiling package body common_pkg
168 # -- Loading package common_pkg
169 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
170 # -- Loading package standard
171 # -- Loading package std_logic_1164
172 # -- Loading package numeric_std
173 # -- Loading package common_pkg
174 # -- Loading package extension_pkg
175 # -- Compiling package core_pkg
176 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
177 # -- Loading package standard
178 # -- Loading package std_logic_1164
179 # -- Loading package numeric_std
180 # -- Loading package common_pkg
181 # -- Compiling package extension_pkg
182 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
183 # -- Loading package standard
184 # -- Loading package std_logic_1164
185 # -- Loading package numeric_std
186 # -- Loading package common_pkg
187 # -- Loading package extension_pkg
188 # -- Compiling package extension_uart_pkg
189 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
190 # -- Loading package standard
191 # -- Loading package std_logic_1164
192 # -- Loading package numeric_std
193 # -- Loading package common_pkg
194 # -- Loading package extension_pkg
195 # -- Loading package extension_uart_pkg
196 # -- Compiling entity extension_uart
197 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
198 # -- Loading package standard
199 # -- Loading package std_logic_1164
200 # -- Loading package numeric_std
201 # -- Loading package common_pkg
202 # -- Loading package extension_pkg
203 # -- Loading package core_pkg
204 # -- Loading package mem_pkg
205 # -- Loading package extension_uart_pkg
206 # -- Compiling architecture behav of extension_uart
207 # -- Loading entity extension_uart
208 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
209 # -- Loading package standard
210 # -- Loading package std_logic_1164
211 # -- Loading package numeric_std
212 # -- Loading package common_pkg
213 # -- Loading package extension_pkg
214 # -- Compiling package extension_7seg_pkg
215 # -- Compiling package body extension_7seg_pkg
216 # -- Loading package extension_7seg_pkg
217 # ** Error: ../src/extension_7seg_pkg.vhd(76): (vcom-1014) Array type case expression must be of a locally static subtype.
218 # ** Error: ../src/extension_7seg_pkg.vhd(98): VHDL Compiler exiting
219 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
220 # Error in macro ./testcore.do line 15
221 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
222 #     while executing
223 # "vcom -work work ../src/extension_7seg_pkg.vhd"
224 do testcore.do
225 # ** Warning: (vlib-34) Library already exists at "work".
226 # Modifying modelsim.ini
227 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
228 # -- Loading package standard
229 # -- Loading package std_logic_1164
230 # -- Loading package numeric_std
231 # -- Compiling package mem_pkg
232 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
233 # -- Loading package standard
234 # -- Loading package std_logic_1164
235 # -- Loading package numeric_std
236 # -- Compiling entity r_w_ram
237 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
238 # -- Loading package standard
239 # -- Loading package std_logic_1164
240 # -- Loading package numeric_std
241 # -- Loading package mem_pkg
242 # -- Compiling architecture behaviour of r_w_ram
243 # -- Loading entity r_w_ram
244 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
245 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
246 # -- Loading package standard
247 # -- Loading package std_logic_1164
248 # -- Loading package numeric_std
249 # -- Loading package mem_pkg
250 # -- Compiling entity r2_w_ram
251 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
252 # -- Loading package standard
253 # -- Loading package std_logic_1164
254 # -- Loading package numeric_std
255 # -- Loading package mem_pkg
256 # -- Compiling architecture behaviour of r2_w_ram
257 # -- Loading entity r2_w_ram
258 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
259 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
260 # -- Loading package standard
261 # -- Loading package std_logic_1164
262 # -- Loading package numeric_std
263 # -- Compiling package common_pkg
264 # -- Compiling package body common_pkg
265 # -- Loading package common_pkg
266 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
267 # -- Loading package standard
268 # -- Loading package std_logic_1164
269 # -- Loading package numeric_std
270 # -- Loading package common_pkg
271 # -- Loading package extension_pkg
272 # -- Compiling package core_pkg
273 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
274 # -- Loading package standard
275 # -- Loading package std_logic_1164
276 # -- Loading package numeric_std
277 # -- Loading package common_pkg
278 # -- Compiling package extension_pkg
279 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
280 # -- Loading package standard
281 # -- Loading package std_logic_1164
282 # -- Loading package numeric_std
283 # -- Loading package common_pkg
284 # -- Loading package extension_pkg
285 # -- Compiling package extension_uart_pkg
286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
287 # -- Loading package standard
288 # -- Loading package std_logic_1164
289 # -- Loading package numeric_std
290 # -- Loading package common_pkg
291 # -- Loading package extension_pkg
292 # -- Loading package extension_uart_pkg
293 # -- Compiling entity extension_uart
294 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
295 # -- Loading package standard
296 # -- Loading package std_logic_1164
297 # -- Loading package numeric_std
298 # -- Loading package common_pkg
299 # -- Loading package extension_pkg
300 # -- Loading package core_pkg
301 # -- Loading package mem_pkg
302 # -- Loading package extension_uart_pkg
303 # -- Compiling architecture behav of extension_uart
304 # -- Loading entity extension_uart
305 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
306 # -- Loading package standard
307 # -- Loading package std_logic_1164
308 # -- Loading package numeric_std
309 # -- Loading package common_pkg
310 # -- Loading package extension_pkg
311 # -- Compiling package extension_7seg_pkg
312 # -- Compiling package body extension_7seg_pkg
313 # -- Loading package extension_7seg_pkg
314 # ** Error: ../src/extension_7seg_pkg.vhd(79): (vcom-1272) Length of expected is 6; length of actual is 5.
315 # ** Error: ../src/extension_7seg_pkg.vhd(80): (vcom-1272) Length of expected is 6; length of actual is 5.
316 # ** Error: ../src/extension_7seg_pkg.vhd(81): (vcom-1272) Length of expected is 6; length of actual is 5.
317 # ** Error: ../src/extension_7seg_pkg.vhd(82): (vcom-1272) Length of expected is 6; length of actual is 5.
318 # ** Error: ../src/extension_7seg_pkg.vhd(83): (vcom-1272) Length of expected is 6; length of actual is 5.
319 # ** Error: ../src/extension_7seg_pkg.vhd(84): (vcom-1272) Length of expected is 6; length of actual is 5.
320 # ** Error: ../src/extension_7seg_pkg.vhd(85): (vcom-1272) Length of expected is 6; length of actual is 5.
321 # ** Error: ../src/extension_7seg_pkg.vhd(86): (vcom-1272) Length of expected is 6; length of actual is 5.
322 # ** Error: ../src/extension_7seg_pkg.vhd(87): (vcom-1272) Length of expected is 6; length of actual is 5.
323 # ** Error: ../src/extension_7seg_pkg.vhd(88): (vcom-1272) Length of expected is 6; length of actual is 5.
324 # ** Error: ../src/extension_7seg_pkg.vhd(89): (vcom-1272) Length of expected is 6; length of actual is 5.
325 # ** Error: ../src/extension_7seg_pkg.vhd(90): (vcom-1272) Length of expected is 6; length of actual is 5.
326 # ** Error: ../src/extension_7seg_pkg.vhd(91): (vcom-1272) Length of expected is 6; length of actual is 5.
327 # ** Error: ../src/extension_7seg_pkg.vhd(92): (vcom-1272) Length of expected is 6; length of actual is 5.
328 # ** Error: ../src/extension_7seg_pkg.vhd(93): (vcom-1272) Length of expected is 6; length of actual is 5.
329 # ** Error: ../src/extension_7seg_pkg.vhd(94): (vcom-1272) Length of expected is 6; length of actual is 5.
330 # ** Error: ../src/extension_7seg_pkg.vhd(100): VHDL Compiler exiting
331 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
332 # Error in macro ./testcore.do line 15
333 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
334 #     while executing
335 # "vcom -work work ../src/extension_7seg_pkg.vhd"
336 do testcore.do
337 # ** Warning: (vlib-34) Library already exists at "work".
338 # Modifying modelsim.ini
339 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
340 # -- Loading package standard
341 # -- Loading package std_logic_1164
342 # -- Loading package numeric_std
343 # -- Compiling package mem_pkg
344 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
345 # -- Loading package standard
346 # -- Loading package std_logic_1164
347 # -- Loading package numeric_std
348 # -- Compiling entity r_w_ram
349 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
350 # -- Loading package standard
351 # -- Loading package std_logic_1164
352 # -- Loading package numeric_std
353 # -- Loading package mem_pkg
354 # -- Compiling architecture behaviour of r_w_ram
355 # -- Loading entity r_w_ram
356 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
357 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
358 # -- Loading package standard
359 # -- Loading package std_logic_1164
360 # -- Loading package numeric_std
361 # -- Loading package mem_pkg
362 # -- Compiling entity r2_w_ram
363 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
364 # -- Loading package standard
365 # -- Loading package std_logic_1164
366 # -- Loading package numeric_std
367 # -- Loading package mem_pkg
368 # -- Compiling architecture behaviour of r2_w_ram
369 # -- Loading entity r2_w_ram
370 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
372 # -- Loading package standard
373 # -- Loading package std_logic_1164
374 # -- Loading package numeric_std
375 # -- Compiling package common_pkg
376 # -- Compiling package body common_pkg
377 # -- Loading package common_pkg
378 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
379 # -- Loading package standard
380 # -- Loading package std_logic_1164
381 # -- Loading package numeric_std
382 # -- Loading package common_pkg
383 # -- Loading package extension_pkg
384 # -- Compiling package core_pkg
385 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
386 # -- Loading package standard
387 # -- Loading package std_logic_1164
388 # -- Loading package numeric_std
389 # -- Loading package common_pkg
390 # -- Compiling package extension_pkg
391 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
392 # -- Loading package standard
393 # -- Loading package std_logic_1164
394 # -- Loading package numeric_std
395 # -- Loading package common_pkg
396 # -- Loading package extension_pkg
397 # -- Compiling package extension_uart_pkg
398 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
399 # -- Loading package standard
400 # -- Loading package std_logic_1164
401 # -- Loading package numeric_std
402 # -- Loading package common_pkg
403 # -- Loading package extension_pkg
404 # -- Loading package extension_uart_pkg
405 # -- Compiling entity extension_uart
406 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
407 # -- Loading package standard
408 # -- Loading package std_logic_1164
409 # -- Loading package numeric_std
410 # -- Loading package common_pkg
411 # -- Loading package extension_pkg
412 # -- Loading package core_pkg
413 # -- Loading package mem_pkg
414 # -- Loading package extension_uart_pkg
415 # -- Compiling architecture behav of extension_uart
416 # -- Loading entity extension_uart
417 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
418 # -- Loading package standard
419 # -- Loading package std_logic_1164
420 # -- Loading package numeric_std
421 # -- Loading package common_pkg
422 # -- Loading package extension_pkg
423 # -- Compiling package extension_7seg_pkg
424 # -- Compiling package body extension_7seg_pkg
425 # -- Loading package extension_7seg_pkg
426 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
427 # -- Loading package standard
428 # -- Loading package std_logic_1164
429 # -- Loading package numeric_std
430 # -- Loading package common_pkg
431 # -- Loading package extension_pkg
432 # -- Loading package extension_7seg_pkg
433 # -- Compiling entity extension_7seg
434 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
435 # -- Loading package standard
436 # -- Loading package std_logic_1164
437 # -- Loading package numeric_std
438 # -- Loading package common_pkg
439 # -- Loading package extension_pkg
440 # -- Loading package core_pkg
441 # -- Loading package mem_pkg
442 # -- Loading package extension_7seg_pkg
443 # -- Compiling architecture behav of extension_7seg
444 # -- Loading entity extension_7seg
445 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
446 # -- Loading package standard
447 # -- Loading package std_logic_1164
448 # -- Loading package std_logic_arith
449 # -- Loading package std_logic_unsigned
450 # -- Loading package numeric_std
451 # -- Loading package common_pkg
452 # -- Loading package extension_pkg
453 # -- Loading package core_pkg
454 # -- Loading package extension_uart_pkg
455 # -- Compiling entity rs232_tx
456 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
457 # -- Loading package standard
458 # -- Loading package std_logic_1164
459 # -- Loading package numeric_std
460 # -- Loading package common_pkg
461 # -- Loading package extension_pkg
462 # -- Loading package core_pkg
463 # -- Loading package extension_uart_pkg
464 # -- Compiling architecture beh of rs232_tx
465 # -- Loading package std_logic_arith
466 # -- Loading package std_logic_unsigned
467 # -- Loading entity rs232_tx
468 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
469 # -- Loading package standard
470 # -- Loading package std_logic_1164
471 # -- Loading package std_logic_arith
472 # -- Loading package std_logic_unsigned
473 # -- Loading package numeric_std
474 # -- Loading package common_pkg
475 # -- Loading package extension_pkg
476 # -- Loading package core_pkg
477 # -- Loading package extension_uart_pkg
478 # -- Compiling entity rs232_rx
479 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
480 # -- Loading package standard
481 # -- Loading package std_logic_1164
482 # -- Loading package numeric_std
483 # -- Loading package common_pkg
484 # -- Loading package extension_pkg
485 # -- Loading package core_pkg
486 # -- Loading package extension_uart_pkg
487 # -- Compiling architecture beh of rs232_rx
488 # -- Loading package std_logic_arith
489 # -- Loading package std_logic_unsigned
490 # -- Loading entity rs232_rx
491 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
492 # -- Loading package standard
493 # -- Loading package std_logic_1164
494 # -- Loading package numeric_std
495 # -- Loading package common_pkg
496 # -- Loading package extension_pkg
497 # -- Loading package core_pkg
498 # -- Compiling entity decoder
499 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
500 # -- Loading package standard
501 # -- Loading package std_logic_1164
502 # -- Loading package numeric_std
503 # -- Loading package mem_pkg
504 # -- Loading package common_pkg
505 # -- Loading package extension_pkg
506 # -- Loading package core_pkg
507 # -- Compiling architecture behav_d of decoder
508 # -- Loading entity decoder
509 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
510 # -- Loading package standard
511 # -- Loading package std_logic_1164
512 # -- Loading package numeric_std
513 # -- Loading package common_pkg
514 # -- Loading package extension_pkg
515 # -- Loading package core_pkg
516 # -- Compiling entity fetch_stage
517 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
518 # -- Loading package standard
519 # -- Loading package std_logic_1164
520 # -- Loading package numeric_std
521 # -- Loading package common_pkg
522 # -- Loading package extension_pkg
523 # -- Loading package core_pkg
524 # -- Loading package mem_pkg
525 # -- Compiling architecture behav of fetch_stage
526 # -- Loading entity fetch_stage
527 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
528 # -- Loading package standard
529 # -- Loading package std_logic_1164
530 # -- Loading package numeric_std
531 # -- Loading package common_pkg
532 # -- Loading package extension_pkg
533 # -- Loading package core_pkg
534 # -- Compiling entity decode_stage
535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
536 # -- Loading package standard
537 # -- Loading package std_logic_1164
538 # -- Loading package numeric_std
539 # -- Loading package mem_pkg
540 # -- Loading package common_pkg
541 # -- Loading package extension_pkg
542 # -- Loading package core_pkg
543 # -- Compiling architecture behav of decode_stage
544 # -- Loading entity decode_stage
545 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
546 # -- Loading package standard
547 # -- Loading package std_logic_1164
548 # -- Loading package numeric_std
549 # -- Loading package common_pkg
550 # -- Loading package extension_pkg
551 # -- Compiling package alu_pkg
552 # -- Compiling package body alu_pkg
553 # -- Loading package alu_pkg
554 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
555 # -- Loading package standard
556 # -- Loading package std_logic_1164
557 # -- Loading package numeric_std
558 # -- Loading package common_pkg
559 # -- Compiling package extension_pkg
560 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
561 # -- Loading package standard
562 # -- Loading package std_logic_1164
563 # -- Loading package numeric_std
564 # -- Loading package common_pkg
565 # -- Loading package extension_pkg
566 # -- Loading package alu_pkg
567 # -- Compiling entity exec_op
568 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
569 # -- Loading package standard
570 # -- Loading package std_logic_1164
571 # -- Loading package numeric_std
572 # -- Loading package common_pkg
573 # -- Loading package extension_pkg
574 # -- Loading package alu_pkg
575 # -- Compiling architecture add_op of exec_op
576 # -- Loading entity exec_op
577 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
578 # -- Loading package standard
579 # -- Loading package std_logic_1164
580 # -- Loading package numeric_std
581 # -- Loading package common_pkg
582 # -- Loading package extension_pkg
583 # -- Loading package alu_pkg
584 # -- Compiling architecture and_op of exec_op
585 # -- Loading entity exec_op
586 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
587 # -- Loading package standard
588 # -- Loading package std_logic_1164
589 # -- Loading package numeric_std
590 # -- Loading package common_pkg
591 # -- Loading package extension_pkg
592 # -- Loading package alu_pkg
593 # -- Compiling architecture or_op of exec_op
594 # -- Loading entity exec_op
595 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
596 # -- Loading package standard
597 # -- Loading package std_logic_1164
598 # -- Loading package numeric_std
599 # -- Loading package common_pkg
600 # -- Loading package extension_pkg
601 # -- Loading package alu_pkg
602 # -- Compiling architecture xor_op of exec_op
603 # -- Loading entity exec_op
604 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
605 # -- Loading package standard
606 # -- Loading package std_logic_1164
607 # -- Loading package numeric_std
608 # -- Loading package common_pkg
609 # -- Loading package extension_pkg
610 # -- Loading package alu_pkg
611 # -- Compiling architecture shift_op of exec_op
612 # -- Loading entity exec_op
613 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
614 # -- Loading package standard
615 # -- Loading package std_logic_1164
616 # -- Loading package numeric_std
617 # -- Loading package common_pkg
618 # -- Loading package extension_pkg
619 # -- Loading package alu_pkg
620 # -- Compiling entity alu
621 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
622 # -- Loading package standard
623 # -- Loading package std_logic_1164
624 # -- Loading package numeric_std
625 # -- Loading package common_pkg
626 # -- Loading package extension_pkg
627 # -- Loading package alu_pkg
628 # -- Compiling architecture behaviour of alu
629 # -- Loading entity alu
630 # -- Loading entity exec_op
631 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
632 # -- Loading package standard
633 # -- Loading package std_logic_1164
634 # -- Loading package numeric_std
635 # -- Loading package common_pkg
636 # -- Compiling package extension_pkg
637 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
638 # -- Loading package standard
639 # -- Loading package std_logic_1164
640 # -- Loading package numeric_std
641 # -- Loading package common_pkg
642 # -- Loading package extension_pkg
643 # -- Compiling entity extension_gpm
644 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
645 # -- Loading package standard
646 # -- Loading package std_logic_1164
647 # -- Loading package numeric_std
648 # -- Loading package common_pkg
649 # -- Loading package extension_pkg
650 # -- Loading package core_pkg
651 # -- Loading package mem_pkg
652 # -- Compiling architecture behav of extension_gpm
653 # -- Loading entity extension_gpm
654 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
655 # -- Loading package standard
656 # -- Loading package std_logic_1164
657 # -- Loading package numeric_std
658 # -- Loading package common_pkg
659 # -- Loading package extension_pkg
660 # -- Loading package alu_pkg
661 # -- Compiling entity execute_stage
662 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
663 # -- Loading package standard
664 # -- Loading package std_logic_1164
665 # -- Loading package numeric_std
666 # -- Loading package common_pkg
667 # -- Loading package extension_pkg
668 # -- Loading package alu_pkg
669 # -- Compiling architecture behav of execute_stage
670 # -- Loading entity execute_stage
671 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
672 # -- Loading package standard
673 # -- Loading package std_logic_1164
674 # -- Loading package numeric_std
675 # -- Loading package common_pkg
676 # -- Compiling entity writeback_stage
677 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
678 # -- Loading package standard
679 # -- Loading package std_logic_1164
680 # -- Loading package numeric_std
681 # -- Loading package common_pkg
682 # -- Loading package extension_pkg
683 # -- Loading package core_pkg
684 # -- Loading package mem_pkg
685 # -- Loading package extension_uart_pkg
686 # -- Loading package extension_7seg_pkg
687 # -- Compiling architecture behav of writeback_stage
688 # -- Loading entity writeback_stage
689 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
690 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
691 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
692 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
693 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
694 # -- Loading package standard
695 # -- Loading package std_logic_1164
696 # -- Loading package numeric_std
697 # -- Loading package common_pkg
698 # -- Loading package extension_pkg
699 # -- Loading package core_pkg
700 # -- Compiling entity pipeline_tb
701 # -- Compiling architecture behavior of pipeline_tb
702 # ** Error: ../src/pipeline_tb.vhd(138): Signal "sseg0" is type ieee.std_logic_1164.std_logic_vector; expecting type ieee.std_logic_1164.std_logic.
703 # ** Error: ../src/pipeline_tb.vhd(207): VHDL Compiler exiting
704 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
705 # Error in macro ./testcore.do line 58
706 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
707 #     while executing
708 # "vcom -work work ../src/pipeline_tb.vhd"
709 do testcore.do
710 # ** Warning: (vlib-34) Library already exists at "work".
711 # Modifying modelsim.ini
712 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
713 # -- Loading package standard
714 # -- Loading package std_logic_1164
715 # -- Loading package numeric_std
716 # -- Compiling package mem_pkg
717 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
718 # -- Loading package standard
719 # -- Loading package std_logic_1164
720 # -- Loading package numeric_std
721 # -- Compiling entity r_w_ram
722 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
723 # -- Loading package standard
724 # -- Loading package std_logic_1164
725 # -- Loading package numeric_std
726 # -- Loading package mem_pkg
727 # -- Compiling architecture behaviour of r_w_ram
728 # -- Loading entity r_w_ram
729 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
730 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
731 # -- Loading package standard
732 # -- Loading package std_logic_1164
733 # -- Loading package numeric_std
734 # -- Loading package mem_pkg
735 # -- Compiling entity r2_w_ram
736 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
737 # -- Loading package standard
738 # -- Loading package std_logic_1164
739 # -- Loading package numeric_std
740 # -- Loading package mem_pkg
741 # -- Compiling architecture behaviour of r2_w_ram
742 # -- Loading entity r2_w_ram
743 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
744 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
745 # -- Loading package standard
746 # -- Loading package std_logic_1164
747 # -- Loading package numeric_std
748 # -- Compiling package common_pkg
749 # -- Compiling package body common_pkg
750 # -- Loading package common_pkg
751 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
752 # -- Loading package standard
753 # -- Loading package std_logic_1164
754 # -- Loading package numeric_std
755 # -- Loading package common_pkg
756 # -- Loading package extension_pkg
757 # -- Compiling package core_pkg
758 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
759 # -- Loading package standard
760 # -- Loading package std_logic_1164
761 # -- Loading package numeric_std
762 # -- Loading package common_pkg
763 # -- Compiling package extension_pkg
764 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
765 # -- Loading package standard
766 # -- Loading package std_logic_1164
767 # -- Loading package numeric_std
768 # -- Loading package common_pkg
769 # -- Loading package extension_pkg
770 # -- Compiling package extension_uart_pkg
771 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
772 # -- Loading package standard
773 # -- Loading package std_logic_1164
774 # -- Loading package numeric_std
775 # -- Loading package common_pkg
776 # -- Loading package extension_pkg
777 # -- Loading package extension_uart_pkg
778 # -- Compiling entity extension_uart
779 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
780 # -- Loading package standard
781 # -- Loading package std_logic_1164
782 # -- Loading package numeric_std
783 # -- Loading package common_pkg
784 # -- Loading package extension_pkg
785 # -- Loading package core_pkg
786 # -- Loading package mem_pkg
787 # -- Loading package extension_uart_pkg
788 # -- Compiling architecture behav of extension_uart
789 # -- Loading entity extension_uart
790 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
791 # -- Loading package standard
792 # -- Loading package std_logic_1164
793 # -- Loading package numeric_std
794 # -- Loading package common_pkg
795 # -- Loading package extension_pkg
796 # -- Compiling package extension_7seg_pkg
797 # -- Compiling package body extension_7seg_pkg
798 # -- Loading package extension_7seg_pkg
799 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
800 # -- Loading package standard
801 # -- Loading package std_logic_1164
802 # -- Loading package numeric_std
803 # -- Loading package common_pkg
804 # -- Loading package extension_pkg
805 # -- Loading package extension_7seg_pkg
806 # -- Compiling entity extension_7seg
807 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
808 # -- Loading package standard
809 # -- Loading package std_logic_1164
810 # -- Loading package numeric_std
811 # -- Loading package common_pkg
812 # -- Loading package extension_pkg
813 # -- Loading package core_pkg
814 # -- Loading package mem_pkg
815 # -- Loading package extension_7seg_pkg
816 # -- Compiling architecture behav of extension_7seg
817 # -- Loading entity extension_7seg
818 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
819 # -- Loading package standard
820 # -- Loading package std_logic_1164
821 # -- Loading package std_logic_arith
822 # -- Loading package std_logic_unsigned
823 # -- Loading package numeric_std
824 # -- Loading package common_pkg
825 # -- Loading package extension_pkg
826 # -- Loading package core_pkg
827 # -- Loading package extension_uart_pkg
828 # -- Compiling entity rs232_tx
829 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
830 # -- Loading package standard
831 # -- Loading package std_logic_1164
832 # -- Loading package numeric_std
833 # -- Loading package common_pkg
834 # -- Loading package extension_pkg
835 # -- Loading package core_pkg
836 # -- Loading package extension_uart_pkg
837 # -- Compiling architecture beh of rs232_tx
838 # -- Loading package std_logic_arith
839 # -- Loading package std_logic_unsigned
840 # -- Loading entity rs232_tx
841 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
842 # -- Loading package standard
843 # -- Loading package std_logic_1164
844 # -- Loading package std_logic_arith
845 # -- Loading package std_logic_unsigned
846 # -- Loading package numeric_std
847 # -- Loading package common_pkg
848 # -- Loading package extension_pkg
849 # -- Loading package core_pkg
850 # -- Loading package extension_uart_pkg
851 # -- Compiling entity rs232_rx
852 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
853 # -- Loading package standard
854 # -- Loading package std_logic_1164
855 # -- Loading package numeric_std
856 # -- Loading package common_pkg
857 # -- Loading package extension_pkg
858 # -- Loading package core_pkg
859 # -- Loading package extension_uart_pkg
860 # -- Compiling architecture beh of rs232_rx
861 # -- Loading package std_logic_arith
862 # -- Loading package std_logic_unsigned
863 # -- Loading entity rs232_rx
864 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
865 # -- Loading package standard
866 # -- Loading package std_logic_1164
867 # -- Loading package numeric_std
868 # -- Loading package common_pkg
869 # -- Loading package extension_pkg
870 # -- Loading package core_pkg
871 # -- Compiling entity decoder
872 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
873 # -- Loading package standard
874 # -- Loading package std_logic_1164
875 # -- Loading package numeric_std
876 # -- Loading package mem_pkg
877 # -- Loading package common_pkg
878 # -- Loading package extension_pkg
879 # -- Loading package core_pkg
880 # -- Compiling architecture behav_d of decoder
881 # -- Loading entity decoder
882 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
883 # -- Loading package standard
884 # -- Loading package std_logic_1164
885 # -- Loading package numeric_std
886 # -- Loading package common_pkg
887 # -- Loading package extension_pkg
888 # -- Loading package core_pkg
889 # -- Compiling entity fetch_stage
890 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
891 # -- Loading package standard
892 # -- Loading package std_logic_1164
893 # -- Loading package numeric_std
894 # -- Loading package common_pkg
895 # -- Loading package extension_pkg
896 # -- Loading package core_pkg
897 # -- Loading package mem_pkg
898 # -- Compiling architecture behav of fetch_stage
899 # -- Loading entity fetch_stage
900 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
901 # -- Loading package standard
902 # -- Loading package std_logic_1164
903 # -- Loading package numeric_std
904 # -- Loading package common_pkg
905 # -- Loading package extension_pkg
906 # -- Loading package core_pkg
907 # -- Compiling entity decode_stage
908 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
909 # -- Loading package standard
910 # -- Loading package std_logic_1164
911 # -- Loading package numeric_std
912 # -- Loading package mem_pkg
913 # -- Loading package common_pkg
914 # -- Loading package extension_pkg
915 # -- Loading package core_pkg
916 # -- Compiling architecture behav of decode_stage
917 # -- Loading entity decode_stage
918 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
919 # -- Loading package standard
920 # -- Loading package std_logic_1164
921 # -- Loading package numeric_std
922 # -- Loading package common_pkg
923 # -- Loading package extension_pkg
924 # -- Compiling package alu_pkg
925 # -- Compiling package body alu_pkg
926 # -- Loading package alu_pkg
927 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
928 # -- Loading package standard
929 # -- Loading package std_logic_1164
930 # -- Loading package numeric_std
931 # -- Loading package common_pkg
932 # -- Compiling package extension_pkg
933 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
934 # -- Loading package standard
935 # -- Loading package std_logic_1164
936 # -- Loading package numeric_std
937 # -- Loading package common_pkg
938 # -- Loading package extension_pkg
939 # -- Loading package alu_pkg
940 # -- Compiling entity exec_op
941 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
942 # -- Loading package standard
943 # -- Loading package std_logic_1164
944 # -- Loading package numeric_std
945 # -- Loading package common_pkg
946 # -- Loading package extension_pkg
947 # -- Loading package alu_pkg
948 # -- Compiling architecture add_op of exec_op
949 # -- Loading entity exec_op
950 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
951 # -- Loading package standard
952 # -- Loading package std_logic_1164
953 # -- Loading package numeric_std
954 # -- Loading package common_pkg
955 # -- Loading package extension_pkg
956 # -- Loading package alu_pkg
957 # -- Compiling architecture and_op of exec_op
958 # -- Loading entity exec_op
959 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
960 # -- Loading package standard
961 # -- Loading package std_logic_1164
962 # -- Loading package numeric_std
963 # -- Loading package common_pkg
964 # -- Loading package extension_pkg
965 # -- Loading package alu_pkg
966 # -- Compiling architecture or_op of exec_op
967 # -- Loading entity exec_op
968 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
969 # -- Loading package standard
970 # -- Loading package std_logic_1164
971 # -- Loading package numeric_std
972 # -- Loading package common_pkg
973 # -- Loading package extension_pkg
974 # -- Loading package alu_pkg
975 # -- Compiling architecture xor_op of exec_op
976 # -- Loading entity exec_op
977 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
978 # -- Loading package standard
979 # -- Loading package std_logic_1164
980 # -- Loading package numeric_std
981 # -- Loading package common_pkg
982 # -- Loading package extension_pkg
983 # -- Loading package alu_pkg
984 # -- Compiling architecture shift_op of exec_op
985 # -- Loading entity exec_op
986 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
987 # -- Loading package standard
988 # -- Loading package std_logic_1164
989 # -- Loading package numeric_std
990 # -- Loading package common_pkg
991 # -- Loading package extension_pkg
992 # -- Loading package alu_pkg
993 # -- Compiling entity alu
994 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
995 # -- Loading package standard
996 # -- Loading package std_logic_1164
997 # -- Loading package numeric_std
998 # -- Loading package common_pkg
999 # -- Loading package extension_pkg
1000 # -- Loading package alu_pkg
1001 # -- Compiling architecture behaviour of alu
1002 # -- Loading entity alu
1003 # -- Loading entity exec_op
1004 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1005 # -- Loading package standard
1006 # -- Loading package std_logic_1164
1007 # -- Loading package numeric_std
1008 # -- Loading package common_pkg
1009 # -- Compiling package extension_pkg
1010 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1011 # -- Loading package standard
1012 # -- Loading package std_logic_1164
1013 # -- Loading package numeric_std
1014 # -- Loading package common_pkg
1015 # -- Loading package extension_pkg
1016 # -- Compiling entity extension_gpm
1017 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1018 # -- Loading package standard
1019 # -- Loading package std_logic_1164
1020 # -- Loading package numeric_std
1021 # -- Loading package common_pkg
1022 # -- Loading package extension_pkg
1023 # -- Loading package core_pkg
1024 # -- Loading package mem_pkg
1025 # -- Compiling architecture behav of extension_gpm
1026 # -- Loading entity extension_gpm
1027 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1028 # -- Loading package standard
1029 # -- Loading package std_logic_1164
1030 # -- Loading package numeric_std
1031 # -- Loading package common_pkg
1032 # -- Loading package extension_pkg
1033 # -- Loading package alu_pkg
1034 # -- Compiling entity execute_stage
1035 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1036 # -- Loading package standard
1037 # -- Loading package std_logic_1164
1038 # -- Loading package numeric_std
1039 # -- Loading package common_pkg
1040 # -- Loading package extension_pkg
1041 # -- Loading package alu_pkg
1042 # -- Compiling architecture behav of execute_stage
1043 # -- Loading entity execute_stage
1044 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1045 # -- Loading package standard
1046 # -- Loading package std_logic_1164
1047 # -- Loading package numeric_std
1048 # -- Loading package common_pkg
1049 # -- Compiling entity writeback_stage
1050 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1051 # -- Loading package standard
1052 # -- Loading package std_logic_1164
1053 # -- Loading package numeric_std
1054 # -- Loading package common_pkg
1055 # -- Loading package extension_pkg
1056 # -- Loading package core_pkg
1057 # -- Loading package mem_pkg
1058 # -- Loading package extension_uart_pkg
1059 # -- Loading package extension_7seg_pkg
1060 # -- Compiling architecture behav of writeback_stage
1061 # -- Loading entity writeback_stage
1062 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
1063 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
1064 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
1065 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
1066 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1067 # -- Loading package standard
1068 # -- Loading package std_logic_1164
1069 # -- Loading package numeric_std
1070 # -- Loading package common_pkg
1071 # -- Loading package extension_pkg
1072 # -- Loading package core_pkg
1073 # -- Compiling entity pipeline_tb
1074 # -- Compiling architecture behavior of pipeline_tb
1075 # -- Compiling configuration pipeline_conf_beh
1076 # -- Loading entity pipeline_tb
1077 # -- Loading architecture behavior of pipeline_tb
1078 # -- Loading entity fetch_stage
1079 # -- Loading entity decode_stage
1080 # -- Loading package alu_pkg
1081 # -- Loading entity execute_stage
1082 # -- Loading entity writeback_stage
1083 # vsim -t ns work.pipeline_conf_beh 
1084 # Loading std.standard
1085 # Loading ieee.std_logic_1164(body)
1086 # Loading ieee.numeric_std(body)
1087 # Loading work.common_pkg(body)
1088 # Loading work.extension_pkg
1089 # Loading work.core_pkg
1090 # Loading work.alu_pkg(body)
1091 # Loading work.pipeline_conf_beh
1092 # Loading work.pipeline_tb(behavior)
1093 # Loading work.mem_pkg
1094 # Loading work.fetch_stage(behav)
1095 # Loading work.r_w_ram(behaviour)
1096 # Loading work.decode_stage(behav)
1097 # Loading work.r2_w_ram(behaviour)
1098 # Loading work.decoder(behav_d)
1099 # Loading work.execute_stage(behav)
1100 # Loading work.alu(behaviour)
1101 # Loading work.exec_op(add_op)
1102 # Loading work.exec_op(and_op)
1103 # Loading work.exec_op(or_op)
1104 # Loading work.exec_op(xor_op)
1105 # Loading work.exec_op(shift_op)
1106 # Loading work.extension_gpm(behav)
1107 # Loading work.extension_uart_pkg
1108 # Loading work.extension_7seg_pkg(body)
1109 # Loading work.writeback_stage(behav)
1110 # Loading work.extension_uart(behav)
1111 # Loading ieee.std_logic_arith(body)
1112 # Loading ieee.std_logic_unsigned(body)
1113 # Loading work.rs232_tx(beh)
1114 # Loading work.rs232_rx(beh)
1115 # Loading work.extension_7seg(behav)
1116 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1117 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1118 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1119 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1120 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1121 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1122 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1123 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1124 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1125 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1126 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1127 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1128 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1129 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1130 do testcore.do
1131 # ** Warning: (vlib-34) Library already exists at "work".
1132 # Modifying modelsim.ini
1133 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1134 # -- Loading package standard
1135 # -- Loading package std_logic_1164
1136 # -- Loading package numeric_std
1137 # -- Compiling package mem_pkg
1138 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1139 # -- Loading package standard
1140 # -- Loading package std_logic_1164
1141 # -- Loading package numeric_std
1142 # -- Compiling entity r_w_ram
1143 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1144 # -- Loading package standard
1145 # -- Loading package std_logic_1164
1146 # -- Loading package numeric_std
1147 # -- Loading package mem_pkg
1148 # -- Compiling architecture behaviour of r_w_ram
1149 # -- Loading entity r_w_ram
1150 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1151 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1152 # -- Loading package standard
1153 # -- Loading package std_logic_1164
1154 # -- Loading package numeric_std
1155 # -- Loading package mem_pkg
1156 # -- Compiling entity r2_w_ram
1157 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1158 # -- Loading package standard
1159 # -- Loading package std_logic_1164
1160 # -- Loading package numeric_std
1161 # -- Loading package mem_pkg
1162 # -- Compiling architecture behaviour of r2_w_ram
1163 # -- Loading entity r2_w_ram
1164 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1165 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1166 # -- Loading package standard
1167 # -- Loading package std_logic_1164
1168 # -- Loading package numeric_std
1169 # -- Compiling package common_pkg
1170 # -- Compiling package body common_pkg
1171 # -- Loading package common_pkg
1172 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1173 # -- Loading package standard
1174 # -- Loading package std_logic_1164
1175 # -- Loading package numeric_std
1176 # -- Loading package common_pkg
1177 # -- Loading package extension_pkg
1178 # -- Compiling package core_pkg
1179 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1180 # -- Loading package standard
1181 # -- Loading package std_logic_1164
1182 # -- Loading package numeric_std
1183 # -- Loading package common_pkg
1184 # -- Compiling package extension_pkg
1185 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1186 # -- Loading package standard
1187 # -- Loading package std_logic_1164
1188 # -- Loading package numeric_std
1189 # -- Loading package common_pkg
1190 # -- Loading package extension_pkg
1191 # -- Compiling package extension_uart_pkg
1192 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1193 # -- Loading package standard
1194 # -- Loading package std_logic_1164
1195 # -- Loading package numeric_std
1196 # -- Loading package common_pkg
1197 # -- Loading package extension_pkg
1198 # -- Loading package extension_uart_pkg
1199 # -- Compiling entity extension_uart
1200 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1201 # -- Loading package standard
1202 # -- Loading package std_logic_1164
1203 # -- Loading package numeric_std
1204 # -- Loading package common_pkg
1205 # -- Loading package extension_pkg
1206 # -- Loading package core_pkg
1207 # -- Loading package mem_pkg
1208 # -- Loading package extension_uart_pkg
1209 # -- Compiling architecture behav of extension_uart
1210 # -- Loading entity extension_uart
1211 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1212 # -- Loading package standard
1213 # -- Loading package std_logic_1164
1214 # -- Loading package numeric_std
1215 # -- Loading package common_pkg
1216 # -- Loading package extension_pkg
1217 # -- Compiling package extension_7seg_pkg
1218 # -- Compiling package body extension_7seg_pkg
1219 # -- Loading package extension_7seg_pkg
1220 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1221 # -- Loading package standard
1222 # -- Loading package std_logic_1164
1223 # -- Loading package numeric_std
1224 # -- Loading package common_pkg
1225 # -- Loading package extension_pkg
1226 # -- Loading package extension_7seg_pkg
1227 # -- Compiling entity extension_7seg
1228 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1229 # -- Loading package standard
1230 # -- Loading package std_logic_1164
1231 # -- Loading package numeric_std
1232 # -- Loading package common_pkg
1233 # -- Loading package extension_pkg
1234 # -- Loading package core_pkg
1235 # -- Loading package mem_pkg
1236 # -- Loading package extension_7seg_pkg
1237 # -- Compiling architecture behav of extension_7seg
1238 # -- Loading entity extension_7seg
1239 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1240 # -- Loading package standard
1241 # -- Loading package std_logic_1164
1242 # -- Loading package std_logic_arith
1243 # -- Loading package std_logic_unsigned
1244 # -- Loading package numeric_std
1245 # -- Loading package common_pkg
1246 # -- Loading package extension_pkg
1247 # -- Loading package core_pkg
1248 # -- Loading package extension_uart_pkg
1249 # -- Compiling entity rs232_tx
1250 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1251 # -- Loading package standard
1252 # -- Loading package std_logic_1164
1253 # -- Loading package numeric_std
1254 # -- Loading package common_pkg
1255 # -- Loading package extension_pkg
1256 # -- Loading package core_pkg
1257 # -- Loading package extension_uart_pkg
1258 # -- Compiling architecture beh of rs232_tx
1259 # -- Loading package std_logic_arith
1260 # -- Loading package std_logic_unsigned
1261 # -- Loading entity rs232_tx
1262 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1263 # -- Loading package standard
1264 # -- Loading package std_logic_1164
1265 # -- Loading package std_logic_arith
1266 # -- Loading package std_logic_unsigned
1267 # -- Loading package numeric_std
1268 # -- Loading package common_pkg
1269 # -- Loading package extension_pkg
1270 # -- Loading package core_pkg
1271 # -- Loading package extension_uart_pkg
1272 # -- Compiling entity rs232_rx
1273 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1274 # -- Loading package standard
1275 # -- Loading package std_logic_1164
1276 # -- Loading package numeric_std
1277 # -- Loading package common_pkg
1278 # -- Loading package extension_pkg
1279 # -- Loading package core_pkg
1280 # -- Loading package extension_uart_pkg
1281 # -- Compiling architecture beh of rs232_rx
1282 # -- Loading package std_logic_arith
1283 # -- Loading package std_logic_unsigned
1284 # -- Loading entity rs232_rx
1285 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1286 # -- Loading package standard
1287 # -- Loading package std_logic_1164
1288 # -- Loading package numeric_std
1289 # -- Loading package common_pkg
1290 # -- Loading package extension_pkg
1291 # -- Loading package core_pkg
1292 # -- Compiling entity decoder
1293 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1294 # -- Loading package standard
1295 # -- Loading package std_logic_1164
1296 # -- Loading package numeric_std
1297 # -- Loading package mem_pkg
1298 # -- Loading package common_pkg
1299 # -- Loading package extension_pkg
1300 # -- Loading package core_pkg
1301 # -- Compiling architecture behav_d of decoder
1302 # -- Loading entity decoder
1303 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1304 # -- Loading package standard
1305 # -- Loading package std_logic_1164
1306 # -- Loading package numeric_std
1307 # -- Loading package common_pkg
1308 # -- Loading package extension_pkg
1309 # -- Loading package core_pkg
1310 # -- Compiling entity fetch_stage
1311 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1312 # -- Loading package standard
1313 # -- Loading package std_logic_1164
1314 # -- Loading package numeric_std
1315 # -- Loading package common_pkg
1316 # -- Loading package extension_pkg
1317 # -- Loading package core_pkg
1318 # -- Loading package mem_pkg
1319 # -- Compiling architecture behav of fetch_stage
1320 # -- Loading entity fetch_stage
1321 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1322 # -- Loading package standard
1323 # -- Loading package std_logic_1164
1324 # -- Loading package numeric_std
1325 # -- Loading package common_pkg
1326 # -- Loading package extension_pkg
1327 # -- Loading package core_pkg
1328 # -- Compiling entity decode_stage
1329 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1330 # -- Loading package standard
1331 # -- Loading package std_logic_1164
1332 # -- Loading package numeric_std
1333 # -- Loading package mem_pkg
1334 # -- Loading package common_pkg
1335 # -- Loading package extension_pkg
1336 # -- Loading package core_pkg
1337 # -- Compiling architecture behav of decode_stage
1338 # -- Loading entity decode_stage
1339 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1340 # -- Loading package standard
1341 # -- Loading package std_logic_1164
1342 # -- Loading package numeric_std
1343 # -- Loading package common_pkg
1344 # -- Loading package extension_pkg
1345 # -- Compiling package alu_pkg
1346 # -- Compiling package body alu_pkg
1347 # -- Loading package alu_pkg
1348 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1349 # -- Loading package standard
1350 # -- Loading package std_logic_1164
1351 # -- Loading package numeric_std
1352 # -- Loading package common_pkg
1353 # -- Compiling package extension_pkg
1354 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1355 # -- Loading package standard
1356 # -- Loading package std_logic_1164
1357 # -- Loading package numeric_std
1358 # -- Loading package common_pkg
1359 # -- Loading package extension_pkg
1360 # -- Loading package alu_pkg
1361 # -- Compiling entity exec_op
1362 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1363 # -- Loading package standard
1364 # -- Loading package std_logic_1164
1365 # -- Loading package numeric_std
1366 # -- Loading package common_pkg
1367 # -- Loading package extension_pkg
1368 # -- Loading package alu_pkg
1369 # -- Compiling architecture add_op of exec_op
1370 # -- Loading entity exec_op
1371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1372 # -- Loading package standard
1373 # -- Loading package std_logic_1164
1374 # -- Loading package numeric_std
1375 # -- Loading package common_pkg
1376 # -- Loading package extension_pkg
1377 # -- Loading package alu_pkg
1378 # -- Compiling architecture and_op of exec_op
1379 # -- Loading entity exec_op
1380 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1381 # -- Loading package standard
1382 # -- Loading package std_logic_1164
1383 # -- Loading package numeric_std
1384 # -- Loading package common_pkg
1385 # -- Loading package extension_pkg
1386 # -- Loading package alu_pkg
1387 # -- Compiling architecture or_op of exec_op
1388 # -- Loading entity exec_op
1389 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1390 # -- Loading package standard
1391 # -- Loading package std_logic_1164
1392 # -- Loading package numeric_std
1393 # -- Loading package common_pkg
1394 # -- Loading package extension_pkg
1395 # -- Loading package alu_pkg
1396 # -- Compiling architecture xor_op of exec_op
1397 # -- Loading entity exec_op
1398 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1399 # -- Loading package standard
1400 # -- Loading package std_logic_1164
1401 # -- Loading package numeric_std
1402 # -- Loading package common_pkg
1403 # -- Loading package extension_pkg
1404 # -- Loading package alu_pkg
1405 # -- Compiling architecture shift_op of exec_op
1406 # -- Loading entity exec_op
1407 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1408 # -- Loading package standard
1409 # -- Loading package std_logic_1164
1410 # -- Loading package numeric_std
1411 # -- Loading package common_pkg
1412 # -- Loading package extension_pkg
1413 # -- Loading package alu_pkg
1414 # -- Compiling entity alu
1415 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1416 # -- Loading package standard
1417 # -- Loading package std_logic_1164
1418 # -- Loading package numeric_std
1419 # -- Loading package common_pkg
1420 # -- Loading package extension_pkg
1421 # -- Loading package alu_pkg
1422 # -- Compiling architecture behaviour of alu
1423 # -- Loading entity alu
1424 # -- Loading entity exec_op
1425 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1426 # -- Loading package standard
1427 # -- Loading package std_logic_1164
1428 # -- Loading package numeric_std
1429 # -- Loading package common_pkg
1430 # -- Compiling package extension_pkg
1431 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1432 # -- Loading package standard
1433 # -- Loading package std_logic_1164
1434 # -- Loading package numeric_std
1435 # -- Loading package common_pkg
1436 # -- Loading package extension_pkg
1437 # -- Compiling entity extension_gpm
1438 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1439 # -- Loading package standard
1440 # -- Loading package std_logic_1164
1441 # -- Loading package numeric_std
1442 # -- Loading package common_pkg
1443 # -- Loading package extension_pkg
1444 # -- Loading package core_pkg
1445 # -- Loading package mem_pkg
1446 # -- Compiling architecture behav of extension_gpm
1447 # -- Loading entity extension_gpm
1448 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1449 # -- Loading package standard
1450 # -- Loading package std_logic_1164
1451 # -- Loading package numeric_std
1452 # -- Loading package common_pkg
1453 # -- Loading package extension_pkg
1454 # -- Loading package alu_pkg
1455 # -- Compiling entity execute_stage
1456 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1457 # -- Loading package standard
1458 # -- Loading package std_logic_1164
1459 # -- Loading package numeric_std
1460 # -- Loading package common_pkg
1461 # -- Loading package extension_pkg
1462 # -- Loading package alu_pkg
1463 # -- Compiling architecture behav of execute_stage
1464 # -- Loading entity execute_stage
1465 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1466 # -- Loading package standard
1467 # -- Loading package std_logic_1164
1468 # -- Loading package numeric_std
1469 # -- Loading package common_pkg
1470 # -- Compiling entity writeback_stage
1471 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1472 # -- Loading package standard
1473 # -- Loading package std_logic_1164
1474 # -- Loading package numeric_std
1475 # -- Loading package common_pkg
1476 # -- Loading package extension_pkg
1477 # -- Loading package core_pkg
1478 # -- Loading package mem_pkg
1479 # -- Loading package extension_uart_pkg
1480 # -- Loading package extension_7seg_pkg
1481 # -- Compiling architecture behav of writeback_stage
1482 # -- Loading entity writeback_stage
1483 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
1484 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
1485 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
1486 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
1487 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1488 # -- Loading package standard
1489 # -- Loading package std_logic_1164
1490 # -- Loading package numeric_std
1491 # -- Loading package common_pkg
1492 # -- Loading package extension_pkg
1493 # -- Loading package core_pkg
1494 # -- Compiling entity pipeline_tb
1495 # -- Compiling architecture behavior of pipeline_tb
1496 # -- Compiling configuration pipeline_conf_beh
1497 # -- Loading entity pipeline_tb
1498 # -- Loading architecture behavior of pipeline_tb
1499 # -- Loading entity fetch_stage
1500 # -- Loading entity decode_stage
1501 # -- Loading package alu_pkg
1502 # -- Loading entity execute_stage
1503 # -- Loading entity writeback_stage
1504 # vsim -t ns work.pipeline_conf_beh 
1505 # Loading std.standard
1506 # Loading ieee.std_logic_1164(body)
1507 # Loading ieee.numeric_std(body)
1508 # Loading work.common_pkg(body)
1509 # Loading work.extension_pkg
1510 # Loading work.core_pkg
1511 # Loading work.alu_pkg(body)
1512 # Loading work.pipeline_conf_beh
1513 # Loading work.pipeline_tb(behavior)
1514 # Loading work.mem_pkg
1515 # Loading work.fetch_stage(behav)
1516 # Loading work.r_w_ram(behaviour)
1517 # Loading work.decode_stage(behav)
1518 # Loading work.r2_w_ram(behaviour)
1519 # Loading work.decoder(behav_d)
1520 # Loading work.execute_stage(behav)
1521 # Loading work.alu(behaviour)
1522 # Loading work.exec_op(add_op)
1523 # Loading work.exec_op(and_op)
1524 # Loading work.exec_op(or_op)
1525 # Loading work.exec_op(xor_op)
1526 # Loading work.exec_op(shift_op)
1527 # Loading work.extension_gpm(behav)
1528 # Loading work.extension_uart_pkg
1529 # Loading work.extension_7seg_pkg(body)
1530 # Loading work.writeback_stage(behav)
1531 # Loading work.extension_uart(behav)
1532 # Loading ieee.std_logic_arith(body)
1533 # Loading ieee.std_logic_unsigned(body)
1534 # Loading work.rs232_tx(beh)
1535 # Loading work.rs232_rx(beh)
1536 # Loading work.extension_7seg(behav)
1537 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1538 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1539 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1540 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1541 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1542 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1543 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1544 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1545 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1546 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1547 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1548 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1549 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1550 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1551 do testcore.do
1552 # ** Warning: (vlib-34) Library already exists at "work".
1553 # Modifying modelsim.ini
1554 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1555 # -- Loading package standard
1556 # -- Loading package std_logic_1164
1557 # -- Loading package numeric_std
1558 # -- Compiling package mem_pkg
1559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1560 # -- Loading package standard
1561 # -- Loading package std_logic_1164
1562 # -- Loading package numeric_std
1563 # -- Compiling entity r_w_ram
1564 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1565 # -- Loading package standard
1566 # -- Loading package std_logic_1164
1567 # -- Loading package numeric_std
1568 # -- Loading package mem_pkg
1569 # -- Compiling architecture behaviour of r_w_ram
1570 # -- Loading entity r_w_ram
1571 # ** Warning: ../src/r_w_ram_b.vhd(118): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1572 # ** Error: ../src/r_w_ram_b.vhd(234): (vcom-1014) Array type case expression must be of a locally static subtype.
1573 # ** Warning: ../src/r_w_ram_b.vhd(236): Case choice must be a locally static expression.
1574 # ** Warning: ../src/r_w_ram_b.vhd(237): Case choice must be a locally static expression.
1575 # ** Warning: ../src/r_w_ram_b.vhd(238): Case choice must be a locally static expression.
1576 # ** Warning: ../src/r_w_ram_b.vhd(240): Case choice must be a locally static expression.
1577 # ** Warning: ../src/r_w_ram_b.vhd(241): Case choice must be a locally static expression.
1578 # ** Warning: ../src/r_w_ram_b.vhd(242): Case choice must be a locally static expression.
1579 # ** Warning: ../src/r_w_ram_b.vhd(244): Case choice must be a locally static expression.
1580 # ** Warning: ../src/r_w_ram_b.vhd(245): Case choice must be a locally static expression.
1581 # ** Warning: ../src/r_w_ram_b.vhd(246): Case choice must be a locally static expression.
1582 # ** Warning: ../src/r_w_ram_b.vhd(249): Case choice must be a locally static expression.
1583 # ** Warning: ../src/r_w_ram_b.vhd(250): Case choice must be a locally static expression.
1584 # ** Warning: ../src/r_w_ram_b.vhd(251): Case choice must be a locally static expression.
1585 # ** Warning: ../src/r_w_ram_b.vhd(252): Case choice must be a locally static expression.
1586 # ** Warning: ../src/r_w_ram_b.vhd(253): Case choice must be a locally static expression.
1587 # ** Warning: ../src/r_w_ram_b.vhd(255): Case choice must be a locally static expression.
1588 # ** Warning: ../src/r_w_ram_b.vhd(256): Case choice must be a locally static expression.
1589 # ** Warning: ../src/r_w_ram_b.vhd(257): Case choice must be a locally static expression.
1590 # ** Warning: ../src/r_w_ram_b.vhd(258): Case choice must be a locally static expression.
1591 # ** Warning: ../src/r_w_ram_b.vhd(259): Case choice must be a locally static expression.
1592 # ** Warning: ../src/r_w_ram_b.vhd(260): Case choice must be a locally static expression.
1593 # ** Warning: ../src/r_w_ram_b.vhd(261): Case choice must be a locally static expression.
1594 # ** Warning: ../src/r_w_ram_b.vhd(262): Case choice must be a locally static expression.
1595 # ** Warning: ../src/r_w_ram_b.vhd(263): Case choice must be a locally static expression.
1596 # ** Warning: ../src/r_w_ram_b.vhd(265): Case choice must be a locally static expression.
1597 # ** Warning: ../src/r_w_ram_b.vhd(266): Case choice must be a locally static expression.
1598 # ** Warning: ../src/r_w_ram_b.vhd(267): Case choice must be a locally static expression.
1599 # ** Warning: ../src/r_w_ram_b.vhd(270): Case choice must be a locally static expression.
1600 # ** Warning: ../src/r_w_ram_b.vhd(271): Case choice must be a locally static expression.
1601 # ** Warning: ../src/r_w_ram_b.vhd(272): Case choice must be a locally static expression.
1602 # ** Warning: ../src/r_w_ram_b.vhd(273): Case choice must be a locally static expression.
1603 # ** Error: ../src/r_w_ram_b.vhd(302): VHDL Compiler exiting
1604 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1605 # Error in macro ./testcore.do line 6
1606 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1607 #     while executing
1608 # "vcom -work work ../src/r_w_ram_b.vhd"
1609 do testcore.do
1610 # ** Warning: (vlib-34) Library already exists at "work".
1611 # Modifying modelsim.ini
1612 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1613 # -- Loading package standard
1614 # -- Loading package std_logic_1164
1615 # -- Loading package numeric_std
1616 # -- Compiling package mem_pkg
1617 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1618 # -- Loading package standard
1619 # -- Loading package std_logic_1164
1620 # -- Loading package numeric_std
1621 # -- Compiling entity r_w_ram
1622 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1623 # -- Loading package standard
1624 # -- Loading package std_logic_1164
1625 # -- Loading package numeric_std
1626 # -- Loading package mem_pkg
1627 # -- Compiling architecture behaviour of r_w_ram
1628 # -- Loading entity r_w_ram
1629 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1630 # ** Warning: [14] ../src/r_w_ram_b.vhd(238): (vcom-1272) Length of expected is 32; length of actual is 11.
1631 # ** Warning: [14] ../src/r_w_ram_b.vhd(239): (vcom-1272) Length of expected is 32; length of actual is 11.
1632 # ** Warning: [14] ../src/r_w_ram_b.vhd(240): (vcom-1272) Length of expected is 32; length of actual is 11.
1633 # ** Warning: [14] ../src/r_w_ram_b.vhd(242): (vcom-1272) Length of expected is 32; length of actual is 11.
1634 # ** Warning: [14] ../src/r_w_ram_b.vhd(243): (vcom-1272) Length of expected is 32; length of actual is 11.
1635 # ** Warning: [14] ../src/r_w_ram_b.vhd(244): (vcom-1272) Length of expected is 32; length of actual is 11.
1636 # ** Warning: [14] ../src/r_w_ram_b.vhd(246): (vcom-1272) Length of expected is 32; length of actual is 11.
1637 # ** Warning: [14] ../src/r_w_ram_b.vhd(247): (vcom-1272) Length of expected is 32; length of actual is 11.
1638 # ** Warning: [14] ../src/r_w_ram_b.vhd(248): (vcom-1272) Length of expected is 32; length of actual is 11.
1639 # ** Warning: [14] ../src/r_w_ram_b.vhd(251): (vcom-1272) Length of expected is 32; length of actual is 11.
1640 # ** Warning: [14] ../src/r_w_ram_b.vhd(252): (vcom-1272) Length of expected is 32; length of actual is 11.
1641 # ** Warning: [14] ../src/r_w_ram_b.vhd(253): (vcom-1272) Length of expected is 32; length of actual is 11.
1642 # ** Warning: [14] ../src/r_w_ram_b.vhd(254): (vcom-1272) Length of expected is 32; length of actual is 11.
1643 # ** Warning: [14] ../src/r_w_ram_b.vhd(255): (vcom-1272) Length of expected is 32; length of actual is 11.
1644 # ** Warning: [14] ../src/r_w_ram_b.vhd(257): (vcom-1272) Length of expected is 32; length of actual is 11.
1645 # ** Warning: [14] ../src/r_w_ram_b.vhd(258): (vcom-1272) Length of expected is 32; length of actual is 11.
1646 # ** Warning: [14] ../src/r_w_ram_b.vhd(259): (vcom-1272) Length of expected is 32; length of actual is 11.
1647 # ** Warning: [14] ../src/r_w_ram_b.vhd(260): (vcom-1272) Length of expected is 32; length of actual is 11.
1648 # ** Warning: [14] ../src/r_w_ram_b.vhd(261): (vcom-1272) Length of expected is 32; length of actual is 11.
1649 # ** Warning: [14] ../src/r_w_ram_b.vhd(262): (vcom-1272) Length of expected is 32; length of actual is 11.
1650 # ** Warning: [14] ../src/r_w_ram_b.vhd(263): (vcom-1272) Length of expected is 32; length of actual is 11.
1651 # ** Warning: [14] ../src/r_w_ram_b.vhd(264): (vcom-1272) Length of expected is 32; length of actual is 11.
1652 # ** Warning: [14] ../src/r_w_ram_b.vhd(265): (vcom-1272) Length of expected is 32; length of actual is 11.
1653 # ** Warning: [14] ../src/r_w_ram_b.vhd(267): (vcom-1272) Length of expected is 32; length of actual is 11.
1654 # ** Warning: [14] ../src/r_w_ram_b.vhd(268): (vcom-1272) Length of expected is 32; length of actual is 11.
1655 # ** Warning: [14] ../src/r_w_ram_b.vhd(269): (vcom-1272) Length of expected is 32; length of actual is 11.
1656 # ** Warning: [14] ../src/r_w_ram_b.vhd(272): (vcom-1272) Length of expected is 32; length of actual is 11.
1657 # ** Warning: [14] ../src/r_w_ram_b.vhd(273): (vcom-1272) Length of expected is 32; length of actual is 11.
1658 # ** Warning: [14] ../src/r_w_ram_b.vhd(274): (vcom-1272) Length of expected is 32; length of actual is 11.
1659 # ** Warning: [14] ../src/r_w_ram_b.vhd(275): (vcom-1272) Length of expected is 32; length of actual is 11.
1660 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1661 # -- Loading package standard
1662 # -- Loading package std_logic_1164
1663 # -- Loading package numeric_std
1664 # -- Loading package mem_pkg
1665 # -- Compiling entity r2_w_ram
1666 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1667 # -- Loading package standard
1668 # -- Loading package std_logic_1164
1669 # -- Loading package numeric_std
1670 # -- Loading package mem_pkg
1671 # -- Compiling architecture behaviour of r2_w_ram
1672 # -- Loading entity r2_w_ram
1673 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1674 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1675 # -- Loading package standard
1676 # -- Loading package std_logic_1164
1677 # -- Loading package numeric_std
1678 # -- Compiling package common_pkg
1679 # -- Compiling package body common_pkg
1680 # -- Loading package common_pkg
1681 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1682 # -- Loading package standard
1683 # -- Loading package std_logic_1164
1684 # -- Loading package numeric_std
1685 # -- Loading package common_pkg
1686 # -- Loading package extension_pkg
1687 # -- Compiling package core_pkg
1688 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1689 # -- Loading package standard
1690 # -- Loading package std_logic_1164
1691 # -- Loading package numeric_std
1692 # -- Loading package common_pkg
1693 # -- Compiling package extension_pkg
1694 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1695 # -- Loading package standard
1696 # -- Loading package std_logic_1164
1697 # -- Loading package numeric_std
1698 # -- Loading package common_pkg
1699 # -- Loading package extension_pkg
1700 # -- Compiling package extension_uart_pkg
1701 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1702 # -- Loading package standard
1703 # -- Loading package std_logic_1164
1704 # -- Loading package numeric_std
1705 # -- Loading package common_pkg
1706 # -- Loading package extension_pkg
1707 # -- Loading package extension_uart_pkg
1708 # -- Compiling entity extension_uart
1709 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1710 # -- Loading package standard
1711 # -- Loading package std_logic_1164
1712 # -- Loading package numeric_std
1713 # -- Loading package common_pkg
1714 # -- Loading package extension_pkg
1715 # -- Loading package core_pkg
1716 # -- Loading package mem_pkg
1717 # -- Loading package extension_uart_pkg
1718 # -- Compiling architecture behav of extension_uart
1719 # -- Loading entity extension_uart
1720 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1721 # -- Loading package standard
1722 # -- Loading package std_logic_1164
1723 # -- Loading package numeric_std
1724 # -- Loading package common_pkg
1725 # -- Loading package extension_pkg
1726 # -- Compiling package extension_7seg_pkg
1727 # -- Compiling package body extension_7seg_pkg
1728 # -- Loading package extension_7seg_pkg
1729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1730 # -- Loading package standard
1731 # -- Loading package std_logic_1164
1732 # -- Loading package numeric_std
1733 # -- Loading package common_pkg
1734 # -- Loading package extension_pkg
1735 # -- Loading package extension_7seg_pkg
1736 # -- Compiling entity extension_7seg
1737 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1738 # -- Loading package standard
1739 # -- Loading package std_logic_1164
1740 # -- Loading package numeric_std
1741 # -- Loading package common_pkg
1742 # -- Loading package extension_pkg
1743 # -- Loading package core_pkg
1744 # -- Loading package mem_pkg
1745 # -- Loading package extension_7seg_pkg
1746 # -- Compiling architecture behav of extension_7seg
1747 # -- Loading entity extension_7seg
1748 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1749 # -- Loading package standard
1750 # -- Loading package std_logic_1164
1751 # -- Loading package std_logic_arith
1752 # -- Loading package std_logic_unsigned
1753 # -- Loading package numeric_std
1754 # -- Loading package common_pkg
1755 # -- Loading package extension_pkg
1756 # -- Loading package core_pkg
1757 # -- Loading package extension_uart_pkg
1758 # -- Compiling entity rs232_tx
1759 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1760 # -- Loading package standard
1761 # -- Loading package std_logic_1164
1762 # -- Loading package numeric_std
1763 # -- Loading package common_pkg
1764 # -- Loading package extension_pkg
1765 # -- Loading package core_pkg
1766 # -- Loading package extension_uart_pkg
1767 # -- Compiling architecture beh of rs232_tx
1768 # -- Loading package std_logic_arith
1769 # -- Loading package std_logic_unsigned
1770 # -- Loading entity rs232_tx
1771 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1772 # -- Loading package standard
1773 # -- Loading package std_logic_1164
1774 # -- Loading package std_logic_arith
1775 # -- Loading package std_logic_unsigned
1776 # -- Loading package numeric_std
1777 # -- Loading package common_pkg
1778 # -- Loading package extension_pkg
1779 # -- Loading package core_pkg
1780 # -- Loading package extension_uart_pkg
1781 # -- Compiling entity rs232_rx
1782 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1783 # -- Loading package standard
1784 # -- Loading package std_logic_1164
1785 # -- Loading package numeric_std
1786 # -- Loading package common_pkg
1787 # -- Loading package extension_pkg
1788 # -- Loading package core_pkg
1789 # -- Loading package extension_uart_pkg
1790 # -- Compiling architecture beh of rs232_rx
1791 # -- Loading package std_logic_arith
1792 # -- Loading package std_logic_unsigned
1793 # -- Loading entity rs232_rx
1794 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1795 # -- Loading package standard
1796 # -- Loading package std_logic_1164
1797 # -- Loading package numeric_std
1798 # -- Loading package common_pkg
1799 # -- Loading package extension_pkg
1800 # -- Loading package core_pkg
1801 # -- Compiling entity decoder
1802 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1803 # -- Loading package standard
1804 # -- Loading package std_logic_1164
1805 # -- Loading package numeric_std
1806 # -- Loading package mem_pkg
1807 # -- Loading package common_pkg
1808 # -- Loading package extension_pkg
1809 # -- Loading package core_pkg
1810 # -- Compiling architecture behav_d of decoder
1811 # -- Loading entity decoder
1812 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1813 # -- Loading package standard
1814 # -- Loading package std_logic_1164
1815 # -- Loading package numeric_std
1816 # -- Loading package common_pkg
1817 # -- Loading package extension_pkg
1818 # -- Loading package core_pkg
1819 # -- Compiling entity fetch_stage
1820 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1821 # -- Loading package standard
1822 # -- Loading package std_logic_1164
1823 # -- Loading package numeric_std
1824 # -- Loading package common_pkg
1825 # -- Loading package extension_pkg
1826 # -- Loading package core_pkg
1827 # -- Loading package mem_pkg
1828 # -- Compiling architecture behav of fetch_stage
1829 # -- Loading entity fetch_stage
1830 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1831 # -- Loading package standard
1832 # -- Loading package std_logic_1164
1833 # -- Loading package numeric_std
1834 # -- Loading package common_pkg
1835 # -- Loading package extension_pkg
1836 # -- Loading package core_pkg
1837 # -- Compiling entity decode_stage
1838 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1839 # -- Loading package standard
1840 # -- Loading package std_logic_1164
1841 # -- Loading package numeric_std
1842 # -- Loading package mem_pkg
1843 # -- Loading package common_pkg
1844 # -- Loading package extension_pkg
1845 # -- Loading package core_pkg
1846 # -- Compiling architecture behav of decode_stage
1847 # -- Loading entity decode_stage
1848 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1849 # -- Loading package standard
1850 # -- Loading package std_logic_1164
1851 # -- Loading package numeric_std
1852 # -- Loading package common_pkg
1853 # -- Loading package extension_pkg
1854 # -- Compiling package alu_pkg
1855 # -- Compiling package body alu_pkg
1856 # -- Loading package alu_pkg
1857 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1858 # -- Loading package standard
1859 # -- Loading package std_logic_1164
1860 # -- Loading package numeric_std
1861 # -- Loading package common_pkg
1862 # -- Compiling package extension_pkg
1863 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1864 # -- Loading package standard
1865 # -- Loading package std_logic_1164
1866 # -- Loading package numeric_std
1867 # -- Loading package common_pkg
1868 # -- Loading package extension_pkg
1869 # -- Loading package alu_pkg
1870 # -- Compiling entity exec_op
1871 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1872 # -- Loading package standard
1873 # -- Loading package std_logic_1164
1874 # -- Loading package numeric_std
1875 # -- Loading package common_pkg
1876 # -- Loading package extension_pkg
1877 # -- Loading package alu_pkg
1878 # -- Compiling architecture add_op of exec_op
1879 # -- Loading entity exec_op
1880 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1881 # -- Loading package standard
1882 # -- Loading package std_logic_1164
1883 # -- Loading package numeric_std
1884 # -- Loading package common_pkg
1885 # -- Loading package extension_pkg
1886 # -- Loading package alu_pkg
1887 # -- Compiling architecture and_op of exec_op
1888 # -- Loading entity exec_op
1889 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1890 # -- Loading package standard
1891 # -- Loading package std_logic_1164
1892 # -- Loading package numeric_std
1893 # -- Loading package common_pkg
1894 # -- Loading package extension_pkg
1895 # -- Loading package alu_pkg
1896 # -- Compiling architecture or_op of exec_op
1897 # -- Loading entity exec_op
1898 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1899 # -- Loading package standard
1900 # -- Loading package std_logic_1164
1901 # -- Loading package numeric_std
1902 # -- Loading package common_pkg
1903 # -- Loading package extension_pkg
1904 # -- Loading package alu_pkg
1905 # -- Compiling architecture xor_op of exec_op
1906 # -- Loading entity exec_op
1907 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1908 # -- Loading package standard
1909 # -- Loading package std_logic_1164
1910 # -- Loading package numeric_std
1911 # -- Loading package common_pkg
1912 # -- Loading package extension_pkg
1913 # -- Loading package alu_pkg
1914 # -- Compiling architecture shift_op of exec_op
1915 # -- Loading entity exec_op
1916 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1917 # -- Loading package standard
1918 # -- Loading package std_logic_1164
1919 # -- Loading package numeric_std
1920 # -- Loading package common_pkg
1921 # -- Loading package extension_pkg
1922 # -- Loading package alu_pkg
1923 # -- Compiling entity alu
1924 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1925 # -- Loading package standard
1926 # -- Loading package std_logic_1164
1927 # -- Loading package numeric_std
1928 # -- Loading package common_pkg
1929 # -- Loading package extension_pkg
1930 # -- Loading package alu_pkg
1931 # -- Compiling architecture behaviour of alu
1932 # -- Loading entity alu
1933 # -- Loading entity exec_op
1934 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1935 # -- Loading package standard
1936 # -- Loading package std_logic_1164
1937 # -- Loading package numeric_std
1938 # -- Loading package common_pkg
1939 # -- Compiling package extension_pkg
1940 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1941 # -- Loading package standard
1942 # -- Loading package std_logic_1164
1943 # -- Loading package numeric_std
1944 # -- Loading package common_pkg
1945 # -- Loading package extension_pkg
1946 # -- Compiling entity extension_gpm
1947 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1948 # -- Loading package standard
1949 # -- Loading package std_logic_1164
1950 # -- Loading package numeric_std
1951 # -- Loading package common_pkg
1952 # -- Loading package extension_pkg
1953 # -- Loading package core_pkg
1954 # -- Loading package mem_pkg
1955 # -- Compiling architecture behav of extension_gpm
1956 # -- Loading entity extension_gpm
1957 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1958 # -- Loading package standard
1959 # -- Loading package std_logic_1164
1960 # -- Loading package numeric_std
1961 # -- Loading package common_pkg
1962 # -- Loading package extension_pkg
1963 # -- Loading package alu_pkg
1964 # -- Compiling entity execute_stage
1965 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1966 # -- Loading package standard
1967 # -- Loading package std_logic_1164
1968 # -- Loading package numeric_std
1969 # -- Loading package common_pkg
1970 # -- Loading package extension_pkg
1971 # -- Loading package alu_pkg
1972 # -- Compiling architecture behav of execute_stage
1973 # -- Loading entity execute_stage
1974 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1975 # -- Loading package standard
1976 # -- Loading package std_logic_1164
1977 # -- Loading package numeric_std
1978 # -- Loading package common_pkg
1979 # -- Compiling entity writeback_stage
1980 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1981 # -- Loading package standard
1982 # -- Loading package std_logic_1164
1983 # -- Loading package numeric_std
1984 # -- Loading package common_pkg
1985 # -- Loading package extension_pkg
1986 # -- Loading package core_pkg
1987 # -- Loading package mem_pkg
1988 # -- Loading package extension_uart_pkg
1989 # -- Loading package extension_7seg_pkg
1990 # -- Compiling architecture behav of writeback_stage
1991 # -- Loading entity writeback_stage
1992 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
1993 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
1994 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
1995 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
1996 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1997 # -- Loading package standard
1998 # -- Loading package std_logic_1164
1999 # -- Loading package numeric_std
2000 # -- Loading package common_pkg
2001 # -- Loading package extension_pkg
2002 # -- Loading package core_pkg
2003 # -- Compiling entity pipeline_tb
2004 # -- Compiling architecture behavior of pipeline_tb
2005 # -- Compiling configuration pipeline_conf_beh
2006 # -- Loading entity pipeline_tb
2007 # -- Loading architecture behavior of pipeline_tb
2008 # -- Loading entity fetch_stage
2009 # -- Loading entity decode_stage
2010 # -- Loading package alu_pkg
2011 # -- Loading entity execute_stage
2012 # -- Loading entity writeback_stage
2013 # vsim -t ns work.pipeline_conf_beh 
2014 # Loading std.standard
2015 # Loading ieee.std_logic_1164(body)
2016 # Loading ieee.numeric_std(body)
2017 # Loading work.common_pkg(body)
2018 # Loading work.extension_pkg
2019 # Loading work.core_pkg
2020 # Loading work.alu_pkg(body)
2021 # Loading work.pipeline_conf_beh
2022 # Loading work.pipeline_tb(behavior)
2023 # Loading work.mem_pkg
2024 # Loading work.fetch_stage(behav)
2025 # Loading work.r_w_ram(behaviour)
2026 # Loading work.decode_stage(behav)
2027 # Loading work.r2_w_ram(behaviour)
2028 # Loading work.decoder(behav_d)
2029 # Loading work.execute_stage(behav)
2030 # Loading work.alu(behaviour)
2031 # Loading work.exec_op(add_op)
2032 # Loading work.exec_op(and_op)
2033 # Loading work.exec_op(or_op)
2034 # Loading work.exec_op(xor_op)
2035 # Loading work.exec_op(shift_op)
2036 # Loading work.extension_gpm(behav)
2037 # Loading work.extension_uart_pkg
2038 # Loading work.extension_7seg_pkg(body)
2039 # Loading work.writeback_stage(behav)
2040 # Loading work.extension_uart(behav)
2041 # Loading ieee.std_logic_arith(body)
2042 # Loading ieee.std_logic_unsigned(body)
2043 # Loading work.rs232_tx(beh)
2044 # Loading work.rs232_rx(beh)
2045 # Loading work.extension_7seg(behav)
2046 # ** Fatal: (vsim-3420) Array lengths do not match. Left is 32 (31 downto 0). Right is 11 (10 downto 0).
2047 #    Time: 0 ns  Iteration: 0  Process: /pipeline_tb/writeback_st/data_ram/line__305 File: ../src/r_w_ram_b.vhd
2048 # Fatal error in Architecture behaviour at ../src/r_w_ram_b.vhd line 305
2049
2050 # HDL call sequence:
2051 # Stopped at ../src/r_w_ram_b.vhd 305 Architecture behaviour
2052
2053 do testcore.do
2054 # ** Warning: (vlib-34) Library already exists at "work".
2055 # Modifying modelsim.ini
2056 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2057 # -- Loading package standard
2058 # -- Loading package std_logic_1164
2059 # -- Loading package numeric_std
2060 # -- Compiling package mem_pkg
2061 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2062 # -- Loading package standard
2063 # -- Loading package std_logic_1164
2064 # -- Loading package numeric_std
2065 # -- Compiling entity r_w_ram
2066 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2067 # -- Loading package standard
2068 # -- Loading package std_logic_1164
2069 # -- Loading package numeric_std
2070 # -- Loading package mem_pkg
2071 # -- Compiling architecture behaviour of r_w_ram
2072 # -- Loading entity r_w_ram
2073 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2074 # ** Warning: [14] ../src/r_w_ram_b.vhd(238): (vcom-1272) Length of expected is 32; length of actual is 11.
2075 # ** Warning: [14] ../src/r_w_ram_b.vhd(239): (vcom-1272) Length of expected is 32; length of actual is 11.
2076 # ** Warning: [14] ../src/r_w_ram_b.vhd(240): (vcom-1272) Length of expected is 32; length of actual is 11.
2077 # ** Warning: [14] ../src/r_w_ram_b.vhd(242): (vcom-1272) Length of expected is 32; length of actual is 11.
2078 # ** Warning: [14] ../src/r_w_ram_b.vhd(243): (vcom-1272) Length of expected is 32; length of actual is 11.
2079 # ** Warning: [14] ../src/r_w_ram_b.vhd(244): (vcom-1272) Length of expected is 32; length of actual is 11.
2080 # ** Warning: [14] ../src/r_w_ram_b.vhd(246): (vcom-1272) Length of expected is 32; length of actual is 11.
2081 # ** Warning: [14] ../src/r_w_ram_b.vhd(247): (vcom-1272) Length of expected is 32; length of actual is 11.
2082 # ** Warning: [14] ../src/r_w_ram_b.vhd(248): (vcom-1272) Length of expected is 32; length of actual is 11.
2083 # ** Warning: [14] ../src/r_w_ram_b.vhd(251): (vcom-1272) Length of expected is 32; length of actual is 11.
2084 # ** Warning: [14] ../src/r_w_ram_b.vhd(252): (vcom-1272) Length of expected is 32; length of actual is 11.
2085 # ** Warning: [14] ../src/r_w_ram_b.vhd(253): (vcom-1272) Length of expected is 32; length of actual is 11.
2086 # ** Warning: [14] ../src/r_w_ram_b.vhd(254): (vcom-1272) Length of expected is 32; length of actual is 11.
2087 # ** Warning: [14] ../src/r_w_ram_b.vhd(255): (vcom-1272) Length of expected is 32; length of actual is 11.
2088 # ** Warning: [14] ../src/r_w_ram_b.vhd(257): (vcom-1272) Length of expected is 32; length of actual is 11.
2089 # ** Warning: [14] ../src/r_w_ram_b.vhd(258): (vcom-1272) Length of expected is 32; length of actual is 11.
2090 # ** Warning: [14] ../src/r_w_ram_b.vhd(259): (vcom-1272) Length of expected is 32; length of actual is 11.
2091 # ** Warning: [14] ../src/r_w_ram_b.vhd(260): (vcom-1272) Length of expected is 32; length of actual is 11.
2092 # ** Warning: [14] ../src/r_w_ram_b.vhd(261): (vcom-1272) Length of expected is 32; length of actual is 11.
2093 # ** Warning: [14] ../src/r_w_ram_b.vhd(262): (vcom-1272) Length of expected is 32; length of actual is 11.
2094 # ** Warning: [14] ../src/r_w_ram_b.vhd(263): (vcom-1272) Length of expected is 32; length of actual is 11.
2095 # ** Warning: [14] ../src/r_w_ram_b.vhd(264): (vcom-1272) Length of expected is 32; length of actual is 11.
2096 # ** Warning: [14] ../src/r_w_ram_b.vhd(265): (vcom-1272) Length of expected is 32; length of actual is 11.
2097 # ** Warning: [14] ../src/r_w_ram_b.vhd(267): (vcom-1272) Length of expected is 32; length of actual is 11.
2098 # ** Warning: [14] ../src/r_w_ram_b.vhd(268): (vcom-1272) Length of expected is 32; length of actual is 11.
2099 # ** Warning: [14] ../src/r_w_ram_b.vhd(269): (vcom-1272) Length of expected is 32; length of actual is 11.
2100 # ** Warning: [14] ../src/r_w_ram_b.vhd(272): (vcom-1272) Length of expected is 32; length of actual is 11.
2101 # ** Warning: [14] ../src/r_w_ram_b.vhd(273): (vcom-1272) Length of expected is 32; length of actual is 11.
2102 # ** Warning: [14] ../src/r_w_ram_b.vhd(274): (vcom-1272) Length of expected is 32; length of actual is 11.
2103 # ** Warning: [14] ../src/r_w_ram_b.vhd(275): (vcom-1272) Length of expected is 32; length of actual is 11.
2104 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2105 # -- Loading package standard
2106 # -- Loading package std_logic_1164
2107 # -- Loading package numeric_std
2108 # -- Loading package mem_pkg
2109 # -- Compiling entity r2_w_ram
2110 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2111 # -- Loading package standard
2112 # -- Loading package std_logic_1164
2113 # -- Loading package numeric_std
2114 # -- Loading package mem_pkg
2115 # -- Compiling architecture behaviour of r2_w_ram
2116 # -- Loading entity r2_w_ram
2117 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2118 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2119 # -- Loading package standard
2120 # -- Loading package std_logic_1164
2121 # -- Loading package numeric_std
2122 # -- Compiling package common_pkg
2123 # -- Compiling package body common_pkg
2124 # -- Loading package common_pkg
2125 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2126 # -- Loading package standard
2127 # -- Loading package std_logic_1164
2128 # -- Loading package numeric_std
2129 # -- Loading package common_pkg
2130 # -- Loading package extension_pkg
2131 # -- Compiling package core_pkg
2132 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2133 # -- Loading package standard
2134 # -- Loading package std_logic_1164
2135 # -- Loading package numeric_std
2136 # -- Loading package common_pkg
2137 # -- Compiling package extension_pkg
2138 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2139 # -- Loading package standard
2140 # -- Loading package std_logic_1164
2141 # -- Loading package numeric_std
2142 # -- Loading package common_pkg
2143 # -- Loading package extension_pkg
2144 # -- Compiling package extension_uart_pkg
2145 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2146 # -- Loading package standard
2147 # -- Loading package std_logic_1164
2148 # -- Loading package numeric_std
2149 # -- Loading package common_pkg
2150 # -- Loading package extension_pkg
2151 # -- Loading package extension_uart_pkg
2152 # -- Compiling entity extension_uart
2153 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2154 # -- Loading package standard
2155 # -- Loading package std_logic_1164
2156 # -- Loading package numeric_std
2157 # -- Loading package common_pkg
2158 # -- Loading package extension_pkg
2159 # -- Loading package core_pkg
2160 # -- Loading package mem_pkg
2161 # -- Loading package extension_uart_pkg
2162 # -- Compiling architecture behav of extension_uart
2163 # -- Loading entity extension_uart
2164 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2165 # -- Loading package standard
2166 # -- Loading package std_logic_1164
2167 # -- Loading package numeric_std
2168 # -- Loading package common_pkg
2169 # -- Loading package extension_pkg
2170 # -- Compiling package extension_7seg_pkg
2171 # -- Compiling package body extension_7seg_pkg
2172 # -- Loading package extension_7seg_pkg
2173 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2174 # -- Loading package standard
2175 # -- Loading package std_logic_1164
2176 # -- Loading package numeric_std
2177 # -- Loading package common_pkg
2178 # -- Loading package extension_pkg
2179 # -- Loading package extension_7seg_pkg
2180 # -- Compiling entity extension_7seg
2181 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2182 # -- Loading package standard
2183 # -- Loading package std_logic_1164
2184 # -- Loading package numeric_std
2185 # -- Loading package common_pkg
2186 # -- Loading package extension_pkg
2187 # -- Loading package core_pkg
2188 # -- Loading package mem_pkg
2189 # -- Loading package extension_7seg_pkg
2190 # -- Compiling architecture behav of extension_7seg
2191 # -- Loading entity extension_7seg
2192 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2193 # -- Loading package standard
2194 # -- Loading package std_logic_1164
2195 # -- Loading package std_logic_arith
2196 # -- Loading package std_logic_unsigned
2197 # -- Loading package numeric_std
2198 # -- Loading package common_pkg
2199 # -- Loading package extension_pkg
2200 # -- Loading package core_pkg
2201 # -- Loading package extension_uart_pkg
2202 # -- Compiling entity rs232_tx
2203 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2204 # -- Loading package standard
2205 # -- Loading package std_logic_1164
2206 # -- Loading package numeric_std
2207 # -- Loading package common_pkg
2208 # -- Loading package extension_pkg
2209 # -- Loading package core_pkg
2210 # -- Loading package extension_uart_pkg
2211 # -- Compiling architecture beh of rs232_tx
2212 # -- Loading package std_logic_arith
2213 # -- Loading package std_logic_unsigned
2214 # -- Loading entity rs232_tx
2215 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2216 # -- Loading package standard
2217 # -- Loading package std_logic_1164
2218 # -- Loading package std_logic_arith
2219 # -- Loading package std_logic_unsigned
2220 # -- Loading package numeric_std
2221 # -- Loading package common_pkg
2222 # -- Loading package extension_pkg
2223 # -- Loading package core_pkg
2224 # -- Loading package extension_uart_pkg
2225 # -- Compiling entity rs232_rx
2226 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2227 # -- Loading package standard
2228 # -- Loading package std_logic_1164
2229 # -- Loading package numeric_std
2230 # -- Loading package common_pkg
2231 # -- Loading package extension_pkg
2232 # -- Loading package core_pkg
2233 # -- Loading package extension_uart_pkg
2234 # -- Compiling architecture beh of rs232_rx
2235 # -- Loading package std_logic_arith
2236 # -- Loading package std_logic_unsigned
2237 # -- Loading entity rs232_rx
2238 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2239 # -- Loading package standard
2240 # -- Loading package std_logic_1164
2241 # -- Loading package numeric_std
2242 # -- Loading package common_pkg
2243 # -- Loading package extension_pkg
2244 # -- Loading package core_pkg
2245 # -- Compiling entity decoder
2246 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2247 # -- Loading package standard
2248 # -- Loading package std_logic_1164
2249 # -- Loading package numeric_std
2250 # -- Loading package mem_pkg
2251 # -- Loading package common_pkg
2252 # -- Loading package extension_pkg
2253 # -- Loading package core_pkg
2254 # -- Compiling architecture behav_d of decoder
2255 # -- Loading entity decoder
2256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2257 # -- Loading package standard
2258 # -- Loading package std_logic_1164
2259 # -- Loading package numeric_std
2260 # -- Loading package common_pkg
2261 # -- Loading package extension_pkg
2262 # -- Loading package core_pkg
2263 # -- Compiling entity fetch_stage
2264 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2265 # -- Loading package standard
2266 # -- Loading package std_logic_1164
2267 # -- Loading package numeric_std
2268 # -- Loading package common_pkg
2269 # -- Loading package extension_pkg
2270 # -- Loading package core_pkg
2271 # -- Loading package mem_pkg
2272 # -- Compiling architecture behav of fetch_stage
2273 # -- Loading entity fetch_stage
2274 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2275 # -- Loading package standard
2276 # -- Loading package std_logic_1164
2277 # -- Loading package numeric_std
2278 # -- Loading package common_pkg
2279 # -- Loading package extension_pkg
2280 # -- Loading package core_pkg
2281 # -- Compiling entity decode_stage
2282 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2283 # -- Loading package standard
2284 # -- Loading package std_logic_1164
2285 # -- Loading package numeric_std
2286 # -- Loading package mem_pkg
2287 # -- Loading package common_pkg
2288 # -- Loading package extension_pkg
2289 # -- Loading package core_pkg
2290 # -- Compiling architecture behav of decode_stage
2291 # -- Loading entity decode_stage
2292 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2293 # -- Loading package standard
2294 # -- Loading package std_logic_1164
2295 # -- Loading package numeric_std
2296 # -- Loading package common_pkg
2297 # -- Loading package extension_pkg
2298 # -- Compiling package alu_pkg
2299 # -- Compiling package body alu_pkg
2300 # -- Loading package alu_pkg
2301 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2302 # -- Loading package standard
2303 # -- Loading package std_logic_1164
2304 # -- Loading package numeric_std
2305 # -- Loading package common_pkg
2306 # -- Compiling package extension_pkg
2307 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2308 # -- Loading package standard
2309 # -- Loading package std_logic_1164
2310 # -- Loading package numeric_std
2311 # -- Loading package common_pkg
2312 # -- Loading package extension_pkg
2313 # -- Loading package alu_pkg
2314 # -- Compiling entity exec_op
2315 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2316 # -- Loading package standard
2317 # -- Loading package std_logic_1164
2318 # -- Loading package numeric_std
2319 # -- Loading package common_pkg
2320 # -- Loading package extension_pkg
2321 # -- Loading package alu_pkg
2322 # -- Compiling architecture add_op of exec_op
2323 # -- Loading entity exec_op
2324 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2325 # -- Loading package standard
2326 # -- Loading package std_logic_1164
2327 # -- Loading package numeric_std
2328 # -- Loading package common_pkg
2329 # -- Loading package extension_pkg
2330 # -- Loading package alu_pkg
2331 # -- Compiling architecture and_op of exec_op
2332 # -- Loading entity exec_op
2333 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2334 # -- Loading package standard
2335 # -- Loading package std_logic_1164
2336 # -- Loading package numeric_std
2337 # -- Loading package common_pkg
2338 # -- Loading package extension_pkg
2339 # -- Loading package alu_pkg
2340 # -- Compiling architecture or_op of exec_op
2341 # -- Loading entity exec_op
2342 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2343 # -- Loading package standard
2344 # -- Loading package std_logic_1164
2345 # -- Loading package numeric_std
2346 # -- Loading package common_pkg
2347 # -- Loading package extension_pkg
2348 # -- Loading package alu_pkg
2349 # -- Compiling architecture xor_op of exec_op
2350 # -- Loading entity exec_op
2351 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2352 # -- Loading package standard
2353 # -- Loading package std_logic_1164
2354 # -- Loading package numeric_std
2355 # -- Loading package common_pkg
2356 # -- Loading package extension_pkg
2357 # -- Loading package alu_pkg
2358 # -- Compiling architecture shift_op of exec_op
2359 # -- Loading entity exec_op
2360 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2361 # -- Loading package standard
2362 # -- Loading package std_logic_1164
2363 # -- Loading package numeric_std
2364 # -- Loading package common_pkg
2365 # -- Loading package extension_pkg
2366 # -- Loading package alu_pkg
2367 # -- Compiling entity alu
2368 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2369 # -- Loading package standard
2370 # -- Loading package std_logic_1164
2371 # -- Loading package numeric_std
2372 # -- Loading package common_pkg
2373 # -- Loading package extension_pkg
2374 # -- Loading package alu_pkg
2375 # -- Compiling architecture behaviour of alu
2376 # -- Loading entity alu
2377 # -- Loading entity exec_op
2378 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2379 # -- Loading package standard
2380 # -- Loading package std_logic_1164
2381 # -- Loading package numeric_std
2382 # -- Loading package common_pkg
2383 # -- Compiling package extension_pkg
2384 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2385 # -- Loading package standard
2386 # -- Loading package std_logic_1164
2387 # -- Loading package numeric_std
2388 # -- Loading package common_pkg
2389 # -- Loading package extension_pkg
2390 # -- Compiling entity extension_gpm
2391 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2392 # -- Loading package standard
2393 # -- Loading package std_logic_1164
2394 # -- Loading package numeric_std
2395 # -- Loading package common_pkg
2396 # -- Loading package extension_pkg
2397 # -- Loading package core_pkg
2398 # -- Loading package mem_pkg
2399 # -- Compiling architecture behav of extension_gpm
2400 # -- Loading entity extension_gpm
2401 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2402 # -- Loading package standard
2403 # -- Loading package std_logic_1164
2404 # -- Loading package numeric_std
2405 # -- Loading package common_pkg
2406 # -- Loading package extension_pkg
2407 # -- Loading package alu_pkg
2408 # -- Compiling entity execute_stage
2409 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2410 # -- Loading package standard
2411 # -- Loading package std_logic_1164
2412 # -- Loading package numeric_std
2413 # -- Loading package common_pkg
2414 # -- Loading package extension_pkg
2415 # -- Loading package alu_pkg
2416 # -- Compiling architecture behav of execute_stage
2417 # -- Loading entity execute_stage
2418 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2419 # -- Loading package standard
2420 # -- Loading package std_logic_1164
2421 # -- Loading package numeric_std
2422 # -- Loading package common_pkg
2423 # -- Compiling entity writeback_stage
2424 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2425 # -- Loading package standard
2426 # -- Loading package std_logic_1164
2427 # -- Loading package numeric_std
2428 # -- Loading package common_pkg
2429 # -- Loading package extension_pkg
2430 # -- Loading package core_pkg
2431 # -- Loading package mem_pkg
2432 # -- Loading package extension_uart_pkg
2433 # -- Loading package extension_7seg_pkg
2434 # -- Compiling architecture behav of writeback_stage
2435 # -- Loading entity writeback_stage
2436 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
2437 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
2438 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
2439 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
2440 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2441 # -- Loading package standard
2442 # -- Loading package std_logic_1164
2443 # -- Loading package numeric_std
2444 # -- Loading package common_pkg
2445 # -- Loading package extension_pkg
2446 # -- Loading package core_pkg
2447 # -- Compiling entity pipeline_tb
2448 # -- Compiling architecture behavior of pipeline_tb
2449 # -- Compiling configuration pipeline_conf_beh
2450 # -- Loading entity pipeline_tb
2451 # -- Loading architecture behavior of pipeline_tb
2452 # -- Loading entity fetch_stage
2453 # -- Loading entity decode_stage
2454 # -- Loading package alu_pkg
2455 # -- Loading entity execute_stage
2456 # -- Loading entity writeback_stage
2457 # vsim -t ns work.pipeline_conf_beh 
2458 # Loading std.standard
2459 # Loading ieee.std_logic_1164(body)
2460 # Loading ieee.numeric_std(body)
2461 # Loading work.common_pkg(body)
2462 # Loading work.extension_pkg
2463 # Loading work.core_pkg
2464 # Loading work.alu_pkg(body)
2465 # Loading work.pipeline_conf_beh
2466 # Loading work.pipeline_tb(behavior)
2467 # Loading work.mem_pkg
2468 # Loading work.fetch_stage(behav)
2469 # Loading work.r_w_ram(behaviour)
2470 # Loading work.decode_stage(behav)
2471 # Loading work.r2_w_ram(behaviour)
2472 # Loading work.decoder(behav_d)
2473 # Loading work.execute_stage(behav)
2474 # Loading work.alu(behaviour)
2475 # Loading work.exec_op(add_op)
2476 # Loading work.exec_op(and_op)
2477 # Loading work.exec_op(or_op)
2478 # Loading work.exec_op(xor_op)
2479 # Loading work.exec_op(shift_op)
2480 # Loading work.extension_gpm(behav)
2481 # Loading work.extension_uart_pkg
2482 # Loading work.extension_7seg_pkg(body)
2483 # Loading work.writeback_stage(behav)
2484 # Loading work.extension_uart(behav)
2485 # Loading ieee.std_logic_arith(body)
2486 # Loading ieee.std_logic_unsigned(body)
2487 # Loading work.rs232_tx(beh)
2488 # Loading work.rs232_rx(beh)
2489 # Loading work.extension_7seg(behav)
2490 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2491 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2492 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2493 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2494 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2495 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2496 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2497 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2498 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2499 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2500 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2501 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2502 do testcore.do
2503 # ** Warning: (vlib-34) Library already exists at "work".
2504 # Modifying modelsim.ini
2505 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2506 # -- Loading package standard
2507 # -- Loading package std_logic_1164
2508 # -- Loading package numeric_std
2509 # -- Compiling package mem_pkg
2510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2511 # -- Loading package standard
2512 # -- Loading package std_logic_1164
2513 # -- Loading package numeric_std
2514 # -- Compiling entity r_w_ram
2515 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2516 # -- Loading package standard
2517 # -- Loading package std_logic_1164
2518 # -- Loading package numeric_std
2519 # -- Loading package mem_pkg
2520 # -- Compiling architecture behaviour of r_w_ram
2521 # -- Loading entity r_w_ram
2522 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2523 # ** Warning: [14] ../src/r_w_ram_b.vhd(238): (vcom-1272) Length of expected is 32; length of actual is 11.
2524 # ** Warning: [14] ../src/r_w_ram_b.vhd(239): (vcom-1272) Length of expected is 32; length of actual is 11.
2525 # ** Warning: [14] ../src/r_w_ram_b.vhd(240): (vcom-1272) Length of expected is 32; length of actual is 11.
2526 # ** Warning: [14] ../src/r_w_ram_b.vhd(242): (vcom-1272) Length of expected is 32; length of actual is 11.
2527 # ** Warning: [14] ../src/r_w_ram_b.vhd(243): (vcom-1272) Length of expected is 32; length of actual is 11.
2528 # ** Warning: [14] ../src/r_w_ram_b.vhd(244): (vcom-1272) Length of expected is 32; length of actual is 11.
2529 # ** Warning: [14] ../src/r_w_ram_b.vhd(246): (vcom-1272) Length of expected is 32; length of actual is 11.
2530 # ** Warning: [14] ../src/r_w_ram_b.vhd(247): (vcom-1272) Length of expected is 32; length of actual is 11.
2531 # ** Warning: [14] ../src/r_w_ram_b.vhd(248): (vcom-1272) Length of expected is 32; length of actual is 11.
2532 # ** Warning: [14] ../src/r_w_ram_b.vhd(251): (vcom-1272) Length of expected is 32; length of actual is 11.
2533 # ** Warning: [14] ../src/r_w_ram_b.vhd(252): (vcom-1272) Length of expected is 32; length of actual is 11.
2534 # ** Warning: [14] ../src/r_w_ram_b.vhd(253): (vcom-1272) Length of expected is 32; length of actual is 11.
2535 # ** Warning: [14] ../src/r_w_ram_b.vhd(254): (vcom-1272) Length of expected is 32; length of actual is 11.
2536 # ** Warning: [14] ../src/r_w_ram_b.vhd(255): (vcom-1272) Length of expected is 32; length of actual is 11.
2537 # ** Warning: [14] ../src/r_w_ram_b.vhd(257): (vcom-1272) Length of expected is 32; length of actual is 11.
2538 # ** Warning: [14] ../src/r_w_ram_b.vhd(258): (vcom-1272) Length of expected is 32; length of actual is 11.
2539 # ** Warning: [14] ../src/r_w_ram_b.vhd(259): (vcom-1272) Length of expected is 32; length of actual is 11.
2540 # ** Warning: [14] ../src/r_w_ram_b.vhd(260): (vcom-1272) Length of expected is 32; length of actual is 11.
2541 # ** Warning: [14] ../src/r_w_ram_b.vhd(261): (vcom-1272) Length of expected is 32; length of actual is 11.
2542 # ** Warning: [14] ../src/r_w_ram_b.vhd(262): (vcom-1272) Length of expected is 32; length of actual is 11.
2543 # ** Warning: [14] ../src/r_w_ram_b.vhd(263): (vcom-1272) Length of expected is 32; length of actual is 11.
2544 # ** Warning: [14] ../src/r_w_ram_b.vhd(264): (vcom-1272) Length of expected is 32; length of actual is 11.
2545 # ** Warning: [14] ../src/r_w_ram_b.vhd(265): (vcom-1272) Length of expected is 32; length of actual is 11.
2546 # ** Warning: [14] ../src/r_w_ram_b.vhd(267): (vcom-1272) Length of expected is 32; length of actual is 11.
2547 # ** Warning: [14] ../src/r_w_ram_b.vhd(268): (vcom-1272) Length of expected is 32; length of actual is 11.
2548 # ** Warning: [14] ../src/r_w_ram_b.vhd(269): (vcom-1272) Length of expected is 32; length of actual is 11.
2549 # ** Warning: [14] ../src/r_w_ram_b.vhd(272): (vcom-1272) Length of expected is 32; length of actual is 11.
2550 # ** Warning: [14] ../src/r_w_ram_b.vhd(273): (vcom-1272) Length of expected is 32; length of actual is 11.
2551 # ** Warning: [14] ../src/r_w_ram_b.vhd(274): (vcom-1272) Length of expected is 32; length of actual is 11.
2552 # ** Warning: [14] ../src/r_w_ram_b.vhd(275): (vcom-1272) Length of expected is 32; length of actual is 11.
2553 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2554 # -- Loading package standard
2555 # -- Loading package std_logic_1164
2556 # -- Loading package numeric_std
2557 # -- Loading package mem_pkg
2558 # -- Compiling entity r2_w_ram
2559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2560 # -- Loading package standard
2561 # -- Loading package std_logic_1164
2562 # -- Loading package numeric_std
2563 # -- Loading package mem_pkg
2564 # -- Compiling architecture behaviour of r2_w_ram
2565 # -- Loading entity r2_w_ram
2566 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2567 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2568 # -- Loading package standard
2569 # -- Loading package std_logic_1164
2570 # -- Loading package numeric_std
2571 # -- Compiling package common_pkg
2572 # -- Compiling package body common_pkg
2573 # -- Loading package common_pkg
2574 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2575 # -- Loading package standard
2576 # -- Loading package std_logic_1164
2577 # -- Loading package numeric_std
2578 # -- Loading package common_pkg
2579 # -- Loading package extension_pkg
2580 # -- Compiling package core_pkg
2581 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2582 # -- Loading package standard
2583 # -- Loading package std_logic_1164
2584 # -- Loading package numeric_std
2585 # -- Loading package common_pkg
2586 # -- Compiling package extension_pkg
2587 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2588 # -- Loading package standard
2589 # -- Loading package std_logic_1164
2590 # -- Loading package numeric_std
2591 # -- Loading package common_pkg
2592 # -- Loading package extension_pkg
2593 # -- Compiling package extension_uart_pkg
2594 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2595 # -- Loading package standard
2596 # -- Loading package std_logic_1164
2597 # -- Loading package numeric_std
2598 # -- Loading package common_pkg
2599 # -- Loading package extension_pkg
2600 # -- Loading package extension_uart_pkg
2601 # -- Compiling entity extension_uart
2602 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2603 # -- Loading package standard
2604 # -- Loading package std_logic_1164
2605 # -- Loading package numeric_std
2606 # -- Loading package common_pkg
2607 # -- Loading package extension_pkg
2608 # -- Loading package core_pkg
2609 # -- Loading package mem_pkg
2610 # -- Loading package extension_uart_pkg
2611 # -- Compiling architecture behav of extension_uart
2612 # -- Loading entity extension_uart
2613 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2614 # -- Loading package standard
2615 # -- Loading package std_logic_1164
2616 # -- Loading package numeric_std
2617 # -- Loading package common_pkg
2618 # -- Loading package extension_pkg
2619 # -- Compiling package extension_7seg_pkg
2620 # -- Compiling package body extension_7seg_pkg
2621 # -- Loading package extension_7seg_pkg
2622 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2623 # -- Loading package standard
2624 # -- Loading package std_logic_1164
2625 # -- Loading package numeric_std
2626 # -- Loading package common_pkg
2627 # -- Loading package extension_pkg
2628 # -- Loading package extension_7seg_pkg
2629 # -- Compiling entity extension_7seg
2630 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2631 # -- Loading package standard
2632 # -- Loading package std_logic_1164
2633 # -- Loading package numeric_std
2634 # -- Loading package common_pkg
2635 # -- Loading package extension_pkg
2636 # -- Loading package core_pkg
2637 # -- Loading package mem_pkg
2638 # -- Loading package extension_7seg_pkg
2639 # -- Compiling architecture behav of extension_7seg
2640 # -- Loading entity extension_7seg
2641 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2642 # -- Loading package standard
2643 # -- Loading package std_logic_1164
2644 # -- Loading package std_logic_arith
2645 # -- Loading package std_logic_unsigned
2646 # -- Loading package numeric_std
2647 # -- Loading package common_pkg
2648 # -- Loading package extension_pkg
2649 # -- Loading package core_pkg
2650 # -- Loading package extension_uart_pkg
2651 # -- Compiling entity rs232_tx
2652 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2653 # -- Loading package standard
2654 # -- Loading package std_logic_1164
2655 # -- Loading package numeric_std
2656 # -- Loading package common_pkg
2657 # -- Loading package extension_pkg
2658 # -- Loading package core_pkg
2659 # -- Loading package extension_uart_pkg
2660 # -- Compiling architecture beh of rs232_tx
2661 # -- Loading package std_logic_arith
2662 # -- Loading package std_logic_unsigned
2663 # -- Loading entity rs232_tx
2664 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2665 # -- Loading package standard
2666 # -- Loading package std_logic_1164
2667 # -- Loading package std_logic_arith
2668 # -- Loading package std_logic_unsigned
2669 # -- Loading package numeric_std
2670 # -- Loading package common_pkg
2671 # -- Loading package extension_pkg
2672 # -- Loading package core_pkg
2673 # -- Loading package extension_uart_pkg
2674 # -- Compiling entity rs232_rx
2675 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2676 # -- Loading package standard
2677 # -- Loading package std_logic_1164
2678 # -- Loading package numeric_std
2679 # -- Loading package common_pkg
2680 # -- Loading package extension_pkg
2681 # -- Loading package core_pkg
2682 # -- Loading package extension_uart_pkg
2683 # -- Compiling architecture beh of rs232_rx
2684 # -- Loading package std_logic_arith
2685 # -- Loading package std_logic_unsigned
2686 # -- Loading entity rs232_rx
2687 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2688 # -- Loading package standard
2689 # -- Loading package std_logic_1164
2690 # -- Loading package numeric_std
2691 # -- Loading package common_pkg
2692 # -- Loading package extension_pkg
2693 # -- Loading package core_pkg
2694 # -- Compiling entity decoder
2695 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2696 # -- Loading package standard
2697 # -- Loading package std_logic_1164
2698 # -- Loading package numeric_std
2699 # -- Loading package mem_pkg
2700 # -- Loading package common_pkg
2701 # -- Loading package extension_pkg
2702 # -- Loading package core_pkg
2703 # -- Compiling architecture behav_d of decoder
2704 # -- Loading entity decoder
2705 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2706 # -- Loading package standard
2707 # -- Loading package std_logic_1164
2708 # -- Loading package numeric_std
2709 # -- Loading package common_pkg
2710 # -- Loading package extension_pkg
2711 # -- Loading package core_pkg
2712 # -- Compiling entity fetch_stage
2713 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2714 # -- Loading package standard
2715 # -- Loading package std_logic_1164
2716 # -- Loading package numeric_std
2717 # -- Loading package common_pkg
2718 # -- Loading package extension_pkg
2719 # -- Loading package core_pkg
2720 # -- Loading package mem_pkg
2721 # -- Compiling architecture behav of fetch_stage
2722 # -- Loading entity fetch_stage
2723 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2724 # -- Loading package standard
2725 # -- Loading package std_logic_1164
2726 # -- Loading package numeric_std
2727 # -- Loading package common_pkg
2728 # -- Loading package extension_pkg
2729 # -- Loading package core_pkg
2730 # -- Compiling entity decode_stage
2731 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2732 # -- Loading package standard
2733 # -- Loading package std_logic_1164
2734 # -- Loading package numeric_std
2735 # -- Loading package mem_pkg
2736 # -- Loading package common_pkg
2737 # -- Loading package extension_pkg
2738 # -- Loading package core_pkg
2739 # -- Compiling architecture behav of decode_stage
2740 # -- Loading entity decode_stage
2741 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2742 # -- Loading package standard
2743 # -- Loading package std_logic_1164
2744 # -- Loading package numeric_std
2745 # -- Loading package common_pkg
2746 # -- Loading package extension_pkg
2747 # -- Compiling package alu_pkg
2748 # -- Compiling package body alu_pkg
2749 # -- Loading package alu_pkg
2750 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2751 # -- Loading package standard
2752 # -- Loading package std_logic_1164
2753 # -- Loading package numeric_std
2754 # -- Loading package common_pkg
2755 # -- Compiling package extension_pkg
2756 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2757 # -- Loading package standard
2758 # -- Loading package std_logic_1164
2759 # -- Loading package numeric_std
2760 # -- Loading package common_pkg
2761 # -- Loading package extension_pkg
2762 # -- Loading package alu_pkg
2763 # -- Compiling entity exec_op
2764 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2765 # -- Loading package standard
2766 # -- Loading package std_logic_1164
2767 # -- Loading package numeric_std
2768 # -- Loading package common_pkg
2769 # -- Loading package extension_pkg
2770 # -- Loading package alu_pkg
2771 # -- Compiling architecture add_op of exec_op
2772 # -- Loading entity exec_op
2773 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2774 # -- Loading package standard
2775 # -- Loading package std_logic_1164
2776 # -- Loading package numeric_std
2777 # -- Loading package common_pkg
2778 # -- Loading package extension_pkg
2779 # -- Loading package alu_pkg
2780 # -- Compiling architecture and_op of exec_op
2781 # -- Loading entity exec_op
2782 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2783 # -- Loading package standard
2784 # -- Loading package std_logic_1164
2785 # -- Loading package numeric_std
2786 # -- Loading package common_pkg
2787 # -- Loading package extension_pkg
2788 # -- Loading package alu_pkg
2789 # -- Compiling architecture or_op of exec_op
2790 # -- Loading entity exec_op
2791 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2792 # -- Loading package standard
2793 # -- Loading package std_logic_1164
2794 # -- Loading package numeric_std
2795 # -- Loading package common_pkg
2796 # -- Loading package extension_pkg
2797 # -- Loading package alu_pkg
2798 # -- Compiling architecture xor_op of exec_op
2799 # -- Loading entity exec_op
2800 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2801 # -- Loading package standard
2802 # -- Loading package std_logic_1164
2803 # -- Loading package numeric_std
2804 # -- Loading package common_pkg
2805 # -- Loading package extension_pkg
2806 # -- Loading package alu_pkg
2807 # -- Compiling architecture shift_op of exec_op
2808 # -- Loading entity exec_op
2809 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2810 # -- Loading package standard
2811 # -- Loading package std_logic_1164
2812 # -- Loading package numeric_std
2813 # -- Loading package common_pkg
2814 # -- Loading package extension_pkg
2815 # -- Loading package alu_pkg
2816 # -- Compiling entity alu
2817 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2818 # -- Loading package standard
2819 # -- Loading package std_logic_1164
2820 # -- Loading package numeric_std
2821 # -- Loading package common_pkg
2822 # -- Loading package extension_pkg
2823 # -- Loading package alu_pkg
2824 # -- Compiling architecture behaviour of alu
2825 # -- Loading entity alu
2826 # -- Loading entity exec_op
2827 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2828 # -- Loading package standard
2829 # -- Loading package std_logic_1164
2830 # -- Loading package numeric_std
2831 # -- Loading package common_pkg
2832 # -- Compiling package extension_pkg
2833 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2834 # -- Loading package standard
2835 # -- Loading package std_logic_1164
2836 # -- Loading package numeric_std
2837 # -- Loading package common_pkg
2838 # -- Loading package extension_pkg
2839 # -- Compiling entity extension_gpm
2840 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2841 # -- Loading package standard
2842 # -- Loading package std_logic_1164
2843 # -- Loading package numeric_std
2844 # -- Loading package common_pkg
2845 # -- Loading package extension_pkg
2846 # -- Loading package core_pkg
2847 # -- Loading package mem_pkg
2848 # -- Compiling architecture behav of extension_gpm
2849 # -- Loading entity extension_gpm
2850 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2851 # -- Loading package standard
2852 # -- Loading package std_logic_1164
2853 # -- Loading package numeric_std
2854 # -- Loading package common_pkg
2855 # -- Loading package extension_pkg
2856 # -- Loading package alu_pkg
2857 # -- Compiling entity execute_stage
2858 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2859 # -- Loading package standard
2860 # -- Loading package std_logic_1164
2861 # -- Loading package numeric_std
2862 # -- Loading package common_pkg
2863 # -- Loading package extension_pkg
2864 # -- Loading package alu_pkg
2865 # -- Compiling architecture behav of execute_stage
2866 # -- Loading entity execute_stage
2867 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2868 # -- Loading package standard
2869 # -- Loading package std_logic_1164
2870 # -- Loading package numeric_std
2871 # -- Loading package common_pkg
2872 # -- Compiling entity writeback_stage
2873 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2874 # -- Loading package standard
2875 # -- Loading package std_logic_1164
2876 # -- Loading package numeric_std
2877 # -- Loading package common_pkg
2878 # -- Loading package extension_pkg
2879 # -- Loading package core_pkg
2880 # -- Loading package mem_pkg
2881 # -- Loading package extension_uart_pkg
2882 # -- Loading package extension_7seg_pkg
2883 # -- Compiling architecture behav of writeback_stage
2884 # -- Loading entity writeback_stage
2885 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
2886 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
2887 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
2888 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
2889 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2890 # -- Loading package standard
2891 # -- Loading package std_logic_1164
2892 # -- Loading package numeric_std
2893 # -- Loading package common_pkg
2894 # -- Loading package extension_pkg
2895 # -- Loading package core_pkg
2896 # -- Compiling entity pipeline_tb
2897 # -- Compiling architecture behavior of pipeline_tb
2898 # -- Compiling configuration pipeline_conf_beh
2899 # -- Loading entity pipeline_tb
2900 # -- Loading architecture behavior of pipeline_tb
2901 # -- Loading entity fetch_stage
2902 # -- Loading entity decode_stage
2903 # -- Loading package alu_pkg
2904 # -- Loading entity execute_stage
2905 # -- Loading entity writeback_stage
2906 # vsim -t ns work.pipeline_conf_beh 
2907 # Loading std.standard
2908 # Loading ieee.std_logic_1164(body)
2909 # Loading ieee.numeric_std(body)
2910 # Loading work.common_pkg(body)
2911 # Loading work.extension_pkg
2912 # Loading work.core_pkg
2913 # Loading work.alu_pkg(body)
2914 # Loading work.pipeline_conf_beh
2915 # Loading work.pipeline_tb(behavior)
2916 # Loading work.mem_pkg
2917 # Loading work.fetch_stage(behav)
2918 # Loading work.r_w_ram(behaviour)
2919 # Loading work.decode_stage(behav)
2920 # Loading work.r2_w_ram(behaviour)
2921 # Loading work.decoder(behav_d)
2922 # Loading work.execute_stage(behav)
2923 # Loading work.alu(behaviour)
2924 # Loading work.exec_op(add_op)
2925 # Loading work.exec_op(and_op)
2926 # Loading work.exec_op(or_op)
2927 # Loading work.exec_op(xor_op)
2928 # Loading work.exec_op(shift_op)
2929 # Loading work.extension_gpm(behav)
2930 # Loading work.extension_uart_pkg
2931 # Loading work.extension_7seg_pkg(body)
2932 # Loading work.writeback_stage(behav)
2933 # Loading work.extension_uart(behav)
2934 # Loading ieee.std_logic_arith(body)
2935 # Loading ieee.std_logic_unsigned(body)
2936 # Loading work.rs232_tx(beh)
2937 # Loading work.rs232_rx(beh)
2938 # Loading work.extension_7seg(behav)
2939 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2940 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2941 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2942 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2943 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2944 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2945 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2946 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2947 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2948 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2949 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2950 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2951 do testcore.do
2952 # ** Warning: (vlib-34) Library already exists at "work".
2953 # Modifying modelsim.ini
2954 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2955 # -- Loading package standard
2956 # -- Loading package std_logic_1164
2957 # -- Loading package numeric_std
2958 # -- Compiling package mem_pkg
2959 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2960 # -- Loading package standard
2961 # -- Loading package std_logic_1164
2962 # -- Loading package numeric_std
2963 # -- Compiling entity r_w_ram
2964 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2965 # -- Loading package standard
2966 # -- Loading package std_logic_1164
2967 # -- Loading package numeric_std
2968 # -- Loading package mem_pkg
2969 # -- Compiling architecture behaviour of r_w_ram
2970 # -- Loading entity r_w_ram
2971 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2972 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2973 # -- Loading package standard
2974 # -- Loading package std_logic_1164
2975 # -- Loading package numeric_std
2976 # -- Loading package mem_pkg
2977 # -- Compiling entity r2_w_ram
2978 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2979 # -- Loading package standard
2980 # -- Loading package std_logic_1164
2981 # -- Loading package numeric_std
2982 # -- Loading package mem_pkg
2983 # -- Compiling architecture behaviour of r2_w_ram
2984 # -- Loading entity r2_w_ram
2985 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2986 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2987 # -- Loading package standard
2988 # -- Loading package std_logic_1164
2989 # -- Loading package numeric_std
2990 # -- Compiling package common_pkg
2991 # -- Compiling package body common_pkg
2992 # -- Loading package common_pkg
2993 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2994 # -- Loading package standard
2995 # -- Loading package std_logic_1164
2996 # -- Loading package numeric_std
2997 # -- Loading package common_pkg
2998 # -- Loading package extension_pkg
2999 # -- Compiling package core_pkg
3000 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3001 # -- Loading package standard
3002 # -- Loading package std_logic_1164
3003 # -- Loading package numeric_std
3004 # -- Loading package common_pkg
3005 # -- Compiling package extension_pkg
3006 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3007 # -- Loading package standard
3008 # -- Loading package std_logic_1164
3009 # -- Loading package numeric_std
3010 # -- Loading package common_pkg
3011 # -- Loading package extension_pkg
3012 # -- Compiling package extension_uart_pkg
3013 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3014 # -- Loading package standard
3015 # -- Loading package std_logic_1164
3016 # -- Loading package numeric_std
3017 # -- Loading package common_pkg
3018 # -- Loading package extension_pkg
3019 # -- Loading package extension_uart_pkg
3020 # -- Compiling entity extension_uart
3021 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3022 # -- Loading package standard
3023 # -- Loading package std_logic_1164
3024 # -- Loading package numeric_std
3025 # -- Loading package common_pkg
3026 # -- Loading package extension_pkg
3027 # -- Loading package core_pkg
3028 # -- Loading package mem_pkg
3029 # -- Loading package extension_uart_pkg
3030 # -- Compiling architecture behav of extension_uart
3031 # -- Loading entity extension_uart
3032 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3033 # -- Loading package standard
3034 # -- Loading package std_logic_1164
3035 # -- Loading package numeric_std
3036 # -- Loading package common_pkg
3037 # -- Loading package extension_pkg
3038 # -- Compiling package extension_7seg_pkg
3039 # -- Compiling package body extension_7seg_pkg
3040 # -- Loading package extension_7seg_pkg
3041 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3042 # -- Loading package standard
3043 # -- Loading package std_logic_1164
3044 # -- Loading package numeric_std
3045 # -- Loading package common_pkg
3046 # -- Loading package extension_pkg
3047 # -- Loading package extension_7seg_pkg
3048 # -- Compiling entity extension_7seg
3049 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3050 # -- Loading package standard
3051 # -- Loading package std_logic_1164
3052 # -- Loading package numeric_std
3053 # -- Loading package common_pkg
3054 # -- Loading package extension_pkg
3055 # -- Loading package core_pkg
3056 # -- Loading package mem_pkg
3057 # -- Loading package extension_7seg_pkg
3058 # -- Compiling architecture behav of extension_7seg
3059 # -- Loading entity extension_7seg
3060 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3061 # -- Loading package standard
3062 # -- Loading package std_logic_1164
3063 # -- Loading package std_logic_arith
3064 # -- Loading package std_logic_unsigned
3065 # -- Loading package numeric_std
3066 # -- Loading package common_pkg
3067 # -- Loading package extension_pkg
3068 # -- Loading package core_pkg
3069 # -- Loading package extension_uart_pkg
3070 # -- Compiling entity rs232_tx
3071 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3072 # -- Loading package standard
3073 # -- Loading package std_logic_1164
3074 # -- Loading package numeric_std
3075 # -- Loading package common_pkg
3076 # -- Loading package extension_pkg
3077 # -- Loading package core_pkg
3078 # -- Loading package extension_uart_pkg
3079 # -- Compiling architecture beh of rs232_tx
3080 # -- Loading package std_logic_arith
3081 # -- Loading package std_logic_unsigned
3082 # -- Loading entity rs232_tx
3083 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3084 # -- Loading package standard
3085 # -- Loading package std_logic_1164
3086 # -- Loading package std_logic_arith
3087 # -- Loading package std_logic_unsigned
3088 # -- Loading package numeric_std
3089 # -- Loading package common_pkg
3090 # -- Loading package extension_pkg
3091 # -- Loading package core_pkg
3092 # -- Loading package extension_uart_pkg
3093 # -- Compiling entity rs232_rx
3094 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3095 # -- Loading package standard
3096 # -- Loading package std_logic_1164
3097 # -- Loading package numeric_std
3098 # -- Loading package common_pkg
3099 # -- Loading package extension_pkg
3100 # -- Loading package core_pkg
3101 # -- Loading package extension_uart_pkg
3102 # -- Compiling architecture beh of rs232_rx
3103 # -- Loading package std_logic_arith
3104 # -- Loading package std_logic_unsigned
3105 # -- Loading entity rs232_rx
3106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3107 # -- Loading package standard
3108 # -- Loading package std_logic_1164
3109 # -- Loading package numeric_std
3110 # -- Loading package common_pkg
3111 # -- Loading package extension_pkg
3112 # -- Loading package core_pkg
3113 # -- Compiling entity decoder
3114 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3115 # -- Loading package standard
3116 # -- Loading package std_logic_1164
3117 # -- Loading package numeric_std
3118 # -- Loading package mem_pkg
3119 # -- Loading package common_pkg
3120 # -- Loading package extension_pkg
3121 # -- Loading package core_pkg
3122 # -- Compiling architecture behav_d of decoder
3123 # -- Loading entity decoder
3124 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3125 # -- Loading package standard
3126 # -- Loading package std_logic_1164
3127 # -- Loading package numeric_std
3128 # -- Loading package common_pkg
3129 # -- Loading package extension_pkg
3130 # -- Loading package core_pkg
3131 # -- Compiling entity fetch_stage
3132 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3133 # -- Loading package standard
3134 # -- Loading package std_logic_1164
3135 # -- Loading package numeric_std
3136 # -- Loading package common_pkg
3137 # -- Loading package extension_pkg
3138 # -- Loading package core_pkg
3139 # -- Loading package mem_pkg
3140 # -- Compiling architecture behav of fetch_stage
3141 # -- Loading entity fetch_stage
3142 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3143 # -- Loading package standard
3144 # -- Loading package std_logic_1164
3145 # -- Loading package numeric_std
3146 # -- Loading package common_pkg
3147 # -- Loading package extension_pkg
3148 # -- Loading package core_pkg
3149 # -- Compiling entity decode_stage
3150 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3151 # -- Loading package standard
3152 # -- Loading package std_logic_1164
3153 # -- Loading package numeric_std
3154 # -- Loading package mem_pkg
3155 # -- Loading package common_pkg
3156 # -- Loading package extension_pkg
3157 # -- Loading package core_pkg
3158 # -- Compiling architecture behav of decode_stage
3159 # -- Loading entity decode_stage
3160 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3161 # -- Loading package standard
3162 # -- Loading package std_logic_1164
3163 # -- Loading package numeric_std
3164 # -- Loading package common_pkg
3165 # -- Loading package extension_pkg
3166 # -- Compiling package alu_pkg
3167 # -- Compiling package body alu_pkg
3168 # -- Loading package alu_pkg
3169 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3170 # -- Loading package standard
3171 # -- Loading package std_logic_1164
3172 # -- Loading package numeric_std
3173 # -- Loading package common_pkg
3174 # -- Compiling package extension_pkg
3175 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3176 # -- Loading package standard
3177 # -- Loading package std_logic_1164
3178 # -- Loading package numeric_std
3179 # -- Loading package common_pkg
3180 # -- Loading package extension_pkg
3181 # -- Loading package alu_pkg
3182 # -- Compiling entity exec_op
3183 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3184 # -- Loading package standard
3185 # -- Loading package std_logic_1164
3186 # -- Loading package numeric_std
3187 # -- Loading package common_pkg
3188 # -- Loading package extension_pkg
3189 # -- Loading package alu_pkg
3190 # -- Compiling architecture add_op of exec_op
3191 # -- Loading entity exec_op
3192 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3193 # -- Loading package standard
3194 # -- Loading package std_logic_1164
3195 # -- Loading package numeric_std
3196 # -- Loading package common_pkg
3197 # -- Loading package extension_pkg
3198 # -- Loading package alu_pkg
3199 # -- Compiling architecture and_op of exec_op
3200 # -- Loading entity exec_op
3201 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3202 # -- Loading package standard
3203 # -- Loading package std_logic_1164
3204 # -- Loading package numeric_std
3205 # -- Loading package common_pkg
3206 # -- Loading package extension_pkg
3207 # -- Loading package alu_pkg
3208 # -- Compiling architecture or_op of exec_op
3209 # -- Loading entity exec_op
3210 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3211 # -- Loading package standard
3212 # -- Loading package std_logic_1164
3213 # -- Loading package numeric_std
3214 # -- Loading package common_pkg
3215 # -- Loading package extension_pkg
3216 # -- Loading package alu_pkg
3217 # -- Compiling architecture xor_op of exec_op
3218 # -- Loading entity exec_op
3219 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3220 # -- Loading package standard
3221 # -- Loading package std_logic_1164
3222 # -- Loading package numeric_std
3223 # -- Loading package common_pkg
3224 # -- Loading package extension_pkg
3225 # -- Loading package alu_pkg
3226 # -- Compiling architecture shift_op of exec_op
3227 # -- Loading entity exec_op
3228 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3229 # -- Loading package standard
3230 # -- Loading package std_logic_1164
3231 # -- Loading package numeric_std
3232 # -- Loading package common_pkg
3233 # -- Loading package extension_pkg
3234 # -- Loading package alu_pkg
3235 # -- Compiling entity alu
3236 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3237 # -- Loading package standard
3238 # -- Loading package std_logic_1164
3239 # -- Loading package numeric_std
3240 # -- Loading package common_pkg
3241 # -- Loading package extension_pkg
3242 # -- Loading package alu_pkg
3243 # -- Compiling architecture behaviour of alu
3244 # -- Loading entity alu
3245 # -- Loading entity exec_op
3246 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3247 # -- Loading package standard
3248 # -- Loading package std_logic_1164
3249 # -- Loading package numeric_std
3250 # -- Loading package common_pkg
3251 # -- Compiling package extension_pkg
3252 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3253 # -- Loading package standard
3254 # -- Loading package std_logic_1164
3255 # -- Loading package numeric_std
3256 # -- Loading package common_pkg
3257 # -- Loading package extension_pkg
3258 # -- Compiling entity extension_gpm
3259 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3260 # -- Loading package standard
3261 # -- Loading package std_logic_1164
3262 # -- Loading package numeric_std
3263 # -- Loading package common_pkg
3264 # -- Loading package extension_pkg
3265 # -- Loading package core_pkg
3266 # -- Loading package mem_pkg
3267 # -- Compiling architecture behav of extension_gpm
3268 # -- Loading entity extension_gpm
3269 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3270 # -- Loading package standard
3271 # -- Loading package std_logic_1164
3272 # -- Loading package numeric_std
3273 # -- Loading package common_pkg
3274 # -- Loading package extension_pkg
3275 # -- Loading package alu_pkg
3276 # -- Compiling entity execute_stage
3277 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3278 # -- Loading package standard
3279 # -- Loading package std_logic_1164
3280 # -- Loading package numeric_std
3281 # -- Loading package common_pkg
3282 # -- Loading package extension_pkg
3283 # -- Loading package alu_pkg
3284 # -- Compiling architecture behav of execute_stage
3285 # -- Loading entity execute_stage
3286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3287 # -- Loading package standard
3288 # -- Loading package std_logic_1164
3289 # -- Loading package numeric_std
3290 # -- Loading package common_pkg
3291 # -- Compiling entity writeback_stage
3292 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3293 # -- Loading package standard
3294 # -- Loading package std_logic_1164
3295 # -- Loading package numeric_std
3296 # -- Loading package common_pkg
3297 # -- Loading package extension_pkg
3298 # -- Loading package core_pkg
3299 # -- Loading package mem_pkg
3300 # -- Loading package extension_uart_pkg
3301 # -- Loading package extension_7seg_pkg
3302 # -- Compiling architecture behav of writeback_stage
3303 # -- Loading entity writeback_stage
3304 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
3305 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
3306 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
3307 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
3308 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3309 # -- Loading package standard
3310 # -- Loading package std_logic_1164
3311 # -- Loading package numeric_std
3312 # -- Loading package common_pkg
3313 # -- Loading package extension_pkg
3314 # -- Loading package core_pkg
3315 # -- Compiling entity pipeline_tb
3316 # -- Compiling architecture behavior of pipeline_tb
3317 # -- Compiling configuration pipeline_conf_beh
3318 # -- Loading entity pipeline_tb
3319 # -- Loading architecture behavior of pipeline_tb
3320 # -- Loading entity fetch_stage
3321 # -- Loading entity decode_stage
3322 # -- Loading package alu_pkg
3323 # -- Loading entity execute_stage
3324 # -- Loading entity writeback_stage
3325 # vsim -t ns work.pipeline_conf_beh 
3326 # Loading std.standard
3327 # Loading ieee.std_logic_1164(body)
3328 # Loading ieee.numeric_std(body)
3329 # Loading work.common_pkg(body)
3330 # Loading work.extension_pkg
3331 # Loading work.core_pkg
3332 # Loading work.alu_pkg(body)
3333 # Loading work.pipeline_conf_beh
3334 # Loading work.pipeline_tb(behavior)
3335 # Loading work.mem_pkg
3336 # Loading work.fetch_stage(behav)
3337 # Loading work.r_w_ram(behaviour)
3338 # Loading work.decode_stage(behav)
3339 # Loading work.r2_w_ram(behaviour)
3340 # Loading work.decoder(behav_d)
3341 # Loading work.execute_stage(behav)
3342 # Loading work.alu(behaviour)
3343 # Loading work.exec_op(add_op)
3344 # Loading work.exec_op(and_op)
3345 # Loading work.exec_op(or_op)
3346 # Loading work.exec_op(xor_op)
3347 # Loading work.exec_op(shift_op)
3348 # Loading work.extension_gpm(behav)
3349 # Loading work.extension_uart_pkg
3350 # Loading work.extension_7seg_pkg(body)
3351 # Loading work.writeback_stage(behav)
3352 # Loading work.extension_uart(behav)
3353 # Loading ieee.std_logic_arith(body)
3354 # Loading ieee.std_logic_unsigned(body)
3355 # Loading work.rs232_tx(beh)
3356 # Loading work.rs232_rx(beh)
3357 # Loading work.extension_7seg(behav)
3358 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3359 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3360 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3361 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3362 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3363 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3364 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3365 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3366 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3367 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3368 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3369 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3370 do testcore.do
3371 # ** Warning: (vlib-34) Library already exists at "work".
3372 # Modifying modelsim.ini
3373 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3374 # -- Loading package standard
3375 # -- Loading package std_logic_1164
3376 # -- Loading package numeric_std
3377 # -- Compiling package mem_pkg
3378 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3379 # -- Loading package standard
3380 # -- Loading package std_logic_1164
3381 # -- Loading package numeric_std
3382 # -- Compiling entity r_w_ram
3383 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3384 # -- Loading package standard
3385 # -- Loading package std_logic_1164
3386 # -- Loading package numeric_std
3387 # -- Loading package mem_pkg
3388 # -- Compiling architecture behaviour of r_w_ram
3389 # -- Loading entity r_w_ram
3390 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3391 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3392 # -- Loading package standard
3393 # -- Loading package std_logic_1164
3394 # -- Loading package numeric_std
3395 # -- Loading package mem_pkg
3396 # -- Compiling entity r2_w_ram
3397 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3398 # -- Loading package standard
3399 # -- Loading package std_logic_1164
3400 # -- Loading package numeric_std
3401 # -- Loading package mem_pkg
3402 # -- Compiling architecture behaviour of r2_w_ram
3403 # -- Loading entity r2_w_ram
3404 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3405 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3406 # -- Loading package standard
3407 # -- Loading package std_logic_1164
3408 # -- Loading package numeric_std
3409 # -- Compiling package common_pkg
3410 # -- Compiling package body common_pkg
3411 # -- Loading package common_pkg
3412 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3413 # -- Loading package standard
3414 # -- Loading package std_logic_1164
3415 # -- Loading package numeric_std
3416 # -- Loading package common_pkg
3417 # -- Loading package extension_pkg
3418 # -- Compiling package core_pkg
3419 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3420 # -- Loading package standard
3421 # -- Loading package std_logic_1164
3422 # -- Loading package numeric_std
3423 # -- Loading package common_pkg
3424 # -- Compiling package extension_pkg
3425 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3426 # -- Loading package standard
3427 # -- Loading package std_logic_1164
3428 # -- Loading package numeric_std
3429 # -- Loading package common_pkg
3430 # -- Loading package extension_pkg
3431 # -- Compiling package extension_uart_pkg
3432 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3433 # -- Loading package standard
3434 # -- Loading package std_logic_1164
3435 # -- Loading package numeric_std
3436 # -- Loading package common_pkg
3437 # -- Loading package extension_pkg
3438 # -- Loading package extension_uart_pkg
3439 # -- Compiling entity extension_uart
3440 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3441 # -- Loading package standard
3442 # -- Loading package std_logic_1164
3443 # -- Loading package numeric_std
3444 # -- Loading package common_pkg
3445 # -- Loading package extension_pkg
3446 # -- Loading package core_pkg
3447 # -- Loading package mem_pkg
3448 # -- Loading package extension_uart_pkg
3449 # -- Compiling architecture behav of extension_uart
3450 # -- Loading entity extension_uart
3451 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3452 # -- Loading package standard
3453 # -- Loading package std_logic_1164
3454 # -- Loading package numeric_std
3455 # -- Loading package common_pkg
3456 # -- Loading package extension_pkg
3457 # -- Compiling package extension_7seg_pkg
3458 # -- Compiling package body extension_7seg_pkg
3459 # -- Loading package extension_7seg_pkg
3460 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3461 # -- Loading package standard
3462 # -- Loading package std_logic_1164
3463 # -- Loading package numeric_std
3464 # -- Loading package common_pkg
3465 # -- Loading package extension_pkg
3466 # -- Loading package extension_7seg_pkg
3467 # -- Compiling entity extension_7seg
3468 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3469 # -- Loading package standard
3470 # -- Loading package std_logic_1164
3471 # -- Loading package numeric_std
3472 # -- Loading package common_pkg
3473 # -- Loading package extension_pkg
3474 # -- Loading package core_pkg
3475 # -- Loading package mem_pkg
3476 # -- Loading package extension_7seg_pkg
3477 # -- Compiling architecture behav of extension_7seg
3478 # -- Loading entity extension_7seg
3479 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3480 # -- Loading package standard
3481 # -- Loading package std_logic_1164
3482 # -- Loading package std_logic_arith
3483 # -- Loading package std_logic_unsigned
3484 # -- Loading package numeric_std
3485 # -- Loading package common_pkg
3486 # -- Loading package extension_pkg
3487 # -- Loading package core_pkg
3488 # -- Loading package extension_uart_pkg
3489 # -- Compiling entity rs232_tx
3490 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3491 # -- Loading package standard
3492 # -- Loading package std_logic_1164
3493 # -- Loading package numeric_std
3494 # -- Loading package common_pkg
3495 # -- Loading package extension_pkg
3496 # -- Loading package core_pkg
3497 # -- Loading package extension_uart_pkg
3498 # -- Compiling architecture beh of rs232_tx
3499 # -- Loading package std_logic_arith
3500 # -- Loading package std_logic_unsigned
3501 # -- Loading entity rs232_tx
3502 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3503 # -- Loading package standard
3504 # -- Loading package std_logic_1164
3505 # -- Loading package std_logic_arith
3506 # -- Loading package std_logic_unsigned
3507 # -- Loading package numeric_std
3508 # -- Loading package common_pkg
3509 # -- Loading package extension_pkg
3510 # -- Loading package core_pkg
3511 # -- Loading package extension_uart_pkg
3512 # -- Compiling entity rs232_rx
3513 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3514 # -- Loading package standard
3515 # -- Loading package std_logic_1164
3516 # -- Loading package numeric_std
3517 # -- Loading package common_pkg
3518 # -- Loading package extension_pkg
3519 # -- Loading package core_pkg
3520 # -- Loading package extension_uart_pkg
3521 # -- Compiling architecture beh of rs232_rx
3522 # -- Loading package std_logic_arith
3523 # -- Loading package std_logic_unsigned
3524 # -- Loading entity rs232_rx
3525 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3526 # -- Loading package standard
3527 # -- Loading package std_logic_1164
3528 # -- Loading package numeric_std
3529 # -- Loading package common_pkg
3530 # -- Loading package extension_pkg
3531 # -- Loading package core_pkg
3532 # -- Compiling entity decoder
3533 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3534 # -- Loading package standard
3535 # -- Loading package std_logic_1164
3536 # -- Loading package numeric_std
3537 # -- Loading package mem_pkg
3538 # -- Loading package common_pkg
3539 # -- Loading package extension_pkg
3540 # -- Loading package core_pkg
3541 # -- Compiling architecture behav_d of decoder
3542 # -- Loading entity decoder
3543 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3544 # -- Loading package standard
3545 # -- Loading package std_logic_1164
3546 # -- Loading package numeric_std
3547 # -- Loading package common_pkg
3548 # -- Loading package extension_pkg
3549 # -- Loading package core_pkg
3550 # -- Compiling entity fetch_stage
3551 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3552 # -- Loading package standard
3553 # -- Loading package std_logic_1164
3554 # -- Loading package numeric_std
3555 # -- Loading package common_pkg
3556 # -- Loading package extension_pkg
3557 # -- Loading package core_pkg
3558 # -- Loading package mem_pkg
3559 # -- Compiling architecture behav of fetch_stage
3560 # -- Loading entity fetch_stage
3561 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3562 # -- Loading package standard
3563 # -- Loading package std_logic_1164
3564 # -- Loading package numeric_std
3565 # -- Loading package common_pkg
3566 # -- Loading package extension_pkg
3567 # -- Loading package core_pkg
3568 # -- Compiling entity decode_stage
3569 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3570 # -- Loading package standard
3571 # -- Loading package std_logic_1164
3572 # -- Loading package numeric_std
3573 # -- Loading package mem_pkg
3574 # -- Loading package common_pkg
3575 # -- Loading package extension_pkg
3576 # -- Loading package core_pkg
3577 # -- Compiling architecture behav of decode_stage
3578 # -- Loading entity decode_stage
3579 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3580 # -- Loading package standard
3581 # -- Loading package std_logic_1164
3582 # -- Loading package numeric_std
3583 # -- Loading package common_pkg
3584 # -- Loading package extension_pkg
3585 # -- Compiling package alu_pkg
3586 # -- Compiling package body alu_pkg
3587 # -- Loading package alu_pkg
3588 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3589 # -- Loading package standard
3590 # -- Loading package std_logic_1164
3591 # -- Loading package numeric_std
3592 # -- Loading package common_pkg
3593 # -- Compiling package extension_pkg
3594 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3595 # -- Loading package standard
3596 # -- Loading package std_logic_1164
3597 # -- Loading package numeric_std
3598 # -- Loading package common_pkg
3599 # -- Loading package extension_pkg
3600 # -- Loading package alu_pkg
3601 # -- Compiling entity exec_op
3602 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3603 # -- Loading package standard
3604 # -- Loading package std_logic_1164
3605 # -- Loading package numeric_std
3606 # -- Loading package common_pkg
3607 # -- Loading package extension_pkg
3608 # -- Loading package alu_pkg
3609 # -- Compiling architecture add_op of exec_op
3610 # -- Loading entity exec_op
3611 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3612 # -- Loading package standard
3613 # -- Loading package std_logic_1164
3614 # -- Loading package numeric_std
3615 # -- Loading package common_pkg
3616 # -- Loading package extension_pkg
3617 # -- Loading package alu_pkg
3618 # -- Compiling architecture and_op of exec_op
3619 # -- Loading entity exec_op
3620 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3621 # -- Loading package standard
3622 # -- Loading package std_logic_1164
3623 # -- Loading package numeric_std
3624 # -- Loading package common_pkg
3625 # -- Loading package extension_pkg
3626 # -- Loading package alu_pkg
3627 # -- Compiling architecture or_op of exec_op
3628 # -- Loading entity exec_op
3629 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3630 # -- Loading package standard
3631 # -- Loading package std_logic_1164
3632 # -- Loading package numeric_std
3633 # -- Loading package common_pkg
3634 # -- Loading package extension_pkg
3635 # -- Loading package alu_pkg
3636 # -- Compiling architecture xor_op of exec_op
3637 # -- Loading entity exec_op
3638 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3639 # -- Loading package standard
3640 # -- Loading package std_logic_1164
3641 # -- Loading package numeric_std
3642 # -- Loading package common_pkg
3643 # -- Loading package extension_pkg
3644 # -- Loading package alu_pkg
3645 # -- Compiling architecture shift_op of exec_op
3646 # -- Loading entity exec_op
3647 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3648 # -- Loading package standard
3649 # -- Loading package std_logic_1164
3650 # -- Loading package numeric_std
3651 # -- Loading package common_pkg
3652 # -- Loading package extension_pkg
3653 # -- Loading package alu_pkg
3654 # -- Compiling entity alu
3655 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3656 # -- Loading package standard
3657 # -- Loading package std_logic_1164
3658 # -- Loading package numeric_std
3659 # -- Loading package common_pkg
3660 # -- Loading package extension_pkg
3661 # -- Loading package alu_pkg
3662 # -- Compiling architecture behaviour of alu
3663 # -- Loading entity alu
3664 # -- Loading entity exec_op
3665 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3666 # -- Loading package standard
3667 # -- Loading package std_logic_1164
3668 # -- Loading package numeric_std
3669 # -- Loading package common_pkg
3670 # -- Compiling package extension_pkg
3671 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3672 # -- Loading package standard
3673 # -- Loading package std_logic_1164
3674 # -- Loading package numeric_std
3675 # -- Loading package common_pkg
3676 # -- Loading package extension_pkg
3677 # -- Compiling entity extension_gpm
3678 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3679 # -- Loading package standard
3680 # -- Loading package std_logic_1164
3681 # -- Loading package numeric_std
3682 # -- Loading package common_pkg
3683 # -- Loading package extension_pkg
3684 # -- Loading package core_pkg
3685 # -- Loading package mem_pkg
3686 # -- Compiling architecture behav of extension_gpm
3687 # -- Loading entity extension_gpm
3688 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3689 # -- Loading package standard
3690 # -- Loading package std_logic_1164
3691 # -- Loading package numeric_std
3692 # -- Loading package common_pkg
3693 # -- Loading package extension_pkg
3694 # -- Loading package alu_pkg
3695 # -- Compiling entity execute_stage
3696 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3697 # -- Loading package standard
3698 # -- Loading package std_logic_1164
3699 # -- Loading package numeric_std
3700 # -- Loading package common_pkg
3701 # -- Loading package extension_pkg
3702 # -- Loading package alu_pkg
3703 # -- Compiling architecture behav of execute_stage
3704 # -- Loading entity execute_stage
3705 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3706 # -- Loading package standard
3707 # -- Loading package std_logic_1164
3708 # -- Loading package numeric_std
3709 # -- Loading package common_pkg
3710 # -- Compiling entity writeback_stage
3711 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3712 # -- Loading package standard
3713 # -- Loading package std_logic_1164
3714 # -- Loading package numeric_std
3715 # -- Loading package common_pkg
3716 # -- Loading package extension_pkg
3717 # -- Loading package core_pkg
3718 # -- Loading package mem_pkg
3719 # -- Loading package extension_uart_pkg
3720 # -- Loading package extension_7seg_pkg
3721 # -- Compiling architecture behav of writeback_stage
3722 # -- Loading entity writeback_stage
3723 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
3724 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
3725 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
3726 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
3727 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3728 # -- Loading package standard
3729 # -- Loading package std_logic_1164
3730 # -- Loading package numeric_std
3731 # -- Loading package common_pkg
3732 # -- Loading package extension_pkg
3733 # -- Loading package core_pkg
3734 # -- Compiling entity pipeline_tb
3735 # -- Compiling architecture behavior of pipeline_tb
3736 # -- Compiling configuration pipeline_conf_beh
3737 # -- Loading entity pipeline_tb
3738 # -- Loading architecture behavior of pipeline_tb
3739 # -- Loading entity fetch_stage
3740 # -- Loading entity decode_stage
3741 # -- Loading package alu_pkg
3742 # -- Loading entity execute_stage
3743 # -- Loading entity writeback_stage
3744 # vsim -t ns work.pipeline_conf_beh 
3745 # Loading std.standard
3746 # Loading ieee.std_logic_1164(body)
3747 # Loading ieee.numeric_std(body)
3748 # Loading work.common_pkg(body)
3749 # Loading work.extension_pkg
3750 # Loading work.core_pkg
3751 # Loading work.alu_pkg(body)
3752 # Loading work.pipeline_conf_beh
3753 # Loading work.pipeline_tb(behavior)
3754 # Loading work.mem_pkg
3755 # Loading work.fetch_stage(behav)
3756 # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound.
3757 #    Time: 0 ns  Iteration: 0  Region: /pipeline_tb/fetch_st  File: ../src/fetch_stage_b.vhd
3758 # Loading work.decode_stage(behav)
3759 # Loading work.r2_w_ram(behaviour)
3760 # Loading work.decoder(behav_d)
3761 # Loading work.execute_stage(behav)
3762 # Loading work.alu(behaviour)
3763 # Loading work.exec_op(add_op)
3764 # Loading work.exec_op(and_op)
3765 # Loading work.exec_op(or_op)
3766 # Loading work.exec_op(xor_op)
3767 # Loading work.exec_op(shift_op)
3768 # Loading work.extension_gpm(behav)
3769 # Loading work.extension_uart_pkg
3770 # Loading work.extension_7seg_pkg(body)
3771 # Loading work.writeback_stage(behav)
3772 # Loading work.r_w_ram(behaviour)
3773 # Loading work.extension_uart(behav)
3774 # Loading ieee.std_logic_arith(body)
3775 # Loading ieee.std_logic_unsigned(body)
3776 # Loading work.rs232_tx(beh)
3777 # Loading work.rs232_rx(beh)
3778 # Loading work.extension_7seg(behav)
3779 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3780 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3781 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3782 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3783 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3784 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3785 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3786 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3787 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3788 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3789 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3790 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3791 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3792 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3793 do testcore.do
3794 # ** Warning: (vlib-34) Library already exists at "work".
3795 # Modifying modelsim.ini
3796 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3797 # -- Loading package standard
3798 # -- Loading package std_logic_1164
3799 # -- Loading package numeric_std
3800 # -- Compiling package mem_pkg
3801 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3802 # -- Loading package standard
3803 # -- Loading package std_logic_1164
3804 # -- Loading package numeric_std
3805 # -- Compiling entity r_w_ram
3806 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3807 # -- Loading package standard
3808 # -- Loading package std_logic_1164
3809 # -- Loading package numeric_std
3810 # -- Loading package mem_pkg
3811 # -- Compiling architecture behaviour of r_w_ram
3812 # -- Loading entity r_w_ram
3813 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3814 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3815 # -- Loading package standard
3816 # -- Loading package std_logic_1164
3817 # -- Loading package numeric_std
3818 # -- Loading package mem_pkg
3819 # -- Compiling entity r2_w_ram
3820 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3821 # -- Loading package standard
3822 # -- Loading package std_logic_1164
3823 # -- Loading package numeric_std
3824 # -- Loading package mem_pkg
3825 # -- Compiling architecture behaviour of r2_w_ram
3826 # -- Loading entity r2_w_ram
3827 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3828 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3829 # -- Loading package standard
3830 # -- Loading package std_logic_1164
3831 # -- Loading package numeric_std
3832 # -- Compiling package common_pkg
3833 # -- Compiling package body common_pkg
3834 # -- Loading package common_pkg
3835 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3836 # -- Loading package standard
3837 # -- Loading package std_logic_1164
3838 # -- Loading package numeric_std
3839 # -- Loading package common_pkg
3840 # -- Loading package extension_pkg
3841 # -- Compiling package core_pkg
3842 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3843 # -- Loading package standard
3844 # -- Loading package std_logic_1164
3845 # -- Loading package numeric_std
3846 # -- Loading package common_pkg
3847 # -- Compiling package extension_pkg
3848 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3849 # -- Loading package standard
3850 # -- Loading package std_logic_1164
3851 # -- Loading package numeric_std
3852 # -- Loading package common_pkg
3853 # -- Loading package extension_pkg
3854 # -- Compiling package extension_uart_pkg
3855 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3856 # -- Loading package standard
3857 # -- Loading package std_logic_1164
3858 # -- Loading package numeric_std
3859 # -- Loading package common_pkg
3860 # -- Loading package extension_pkg
3861 # -- Loading package extension_uart_pkg
3862 # -- Compiling entity extension_uart
3863 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3864 # -- Loading package standard
3865 # -- Loading package std_logic_1164
3866 # -- Loading package numeric_std
3867 # -- Loading package common_pkg
3868 # -- Loading package extension_pkg
3869 # -- Loading package core_pkg
3870 # -- Loading package mem_pkg
3871 # -- Loading package extension_uart_pkg
3872 # -- Compiling architecture behav of extension_uart
3873 # -- Loading entity extension_uart
3874 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3875 # -- Loading package standard
3876 # -- Loading package std_logic_1164
3877 # -- Loading package numeric_std
3878 # -- Loading package common_pkg
3879 # -- Loading package extension_pkg
3880 # -- Compiling package extension_7seg_pkg
3881 # -- Compiling package body extension_7seg_pkg
3882 # -- Loading package extension_7seg_pkg
3883 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3884 # -- Loading package standard
3885 # -- Loading package std_logic_1164
3886 # -- Loading package numeric_std
3887 # -- Loading package common_pkg
3888 # -- Loading package extension_pkg
3889 # -- Loading package extension_7seg_pkg
3890 # -- Compiling entity extension_7seg
3891 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3892 # -- Loading package standard
3893 # -- Loading package std_logic_1164
3894 # -- Loading package numeric_std
3895 # -- Loading package common_pkg
3896 # -- Loading package extension_pkg
3897 # -- Loading package core_pkg
3898 # -- Loading package mem_pkg
3899 # -- Loading package extension_7seg_pkg
3900 # -- Compiling architecture behav of extension_7seg
3901 # -- Loading entity extension_7seg
3902 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3903 # -- Loading package standard
3904 # -- Loading package std_logic_1164
3905 # -- Loading package std_logic_arith
3906 # -- Loading package std_logic_unsigned
3907 # -- Loading package numeric_std
3908 # -- Loading package common_pkg
3909 # -- Loading package extension_pkg
3910 # -- Loading package core_pkg
3911 # -- Loading package extension_uart_pkg
3912 # -- Compiling entity rs232_tx
3913 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3914 # -- Loading package standard
3915 # -- Loading package std_logic_1164
3916 # -- Loading package numeric_std
3917 # -- Loading package common_pkg
3918 # -- Loading package extension_pkg
3919 # -- Loading package core_pkg
3920 # -- Loading package extension_uart_pkg
3921 # -- Compiling architecture beh of rs232_tx
3922 # -- Loading package std_logic_arith
3923 # -- Loading package std_logic_unsigned
3924 # -- Loading entity rs232_tx
3925 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3926 # -- Loading package standard
3927 # -- Loading package std_logic_1164
3928 # -- Loading package std_logic_arith
3929 # -- Loading package std_logic_unsigned
3930 # -- Loading package numeric_std
3931 # -- Loading package common_pkg
3932 # -- Loading package extension_pkg
3933 # -- Loading package core_pkg
3934 # -- Loading package extension_uart_pkg
3935 # -- Compiling entity rs232_rx
3936 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3937 # -- Loading package standard
3938 # -- Loading package std_logic_1164
3939 # -- Loading package numeric_std
3940 # -- Loading package common_pkg
3941 # -- Loading package extension_pkg
3942 # -- Loading package core_pkg
3943 # -- Loading package extension_uart_pkg
3944 # -- Compiling architecture beh of rs232_rx
3945 # -- Loading package std_logic_arith
3946 # -- Loading package std_logic_unsigned
3947 # -- Loading entity rs232_rx
3948 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3949 # -- Loading package standard
3950 # -- Loading package std_logic_1164
3951 # -- Loading package numeric_std
3952 # -- Loading package common_pkg
3953 # -- Loading package extension_pkg
3954 # -- Loading package core_pkg
3955 # -- Compiling entity decoder
3956 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3957 # -- Loading package standard
3958 # -- Loading package std_logic_1164
3959 # -- Loading package numeric_std
3960 # -- Loading package mem_pkg
3961 # -- Loading package common_pkg
3962 # -- Loading package extension_pkg
3963 # -- Loading package core_pkg
3964 # -- Compiling architecture behav_d of decoder
3965 # -- Loading entity decoder
3966 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3967 # -- Loading package standard
3968 # -- Loading package std_logic_1164
3969 # -- Loading package numeric_std
3970 # -- Loading package common_pkg
3971 # -- Loading package extension_pkg
3972 # -- Loading package core_pkg
3973 # -- Compiling entity fetch_stage
3974 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3975 # -- Loading package standard
3976 # -- Loading package std_logic_1164
3977 # -- Loading package numeric_std
3978 # -- Loading package common_pkg
3979 # -- Loading package extension_pkg
3980 # -- Loading package core_pkg
3981 # -- Loading package mem_pkg
3982 # -- Compiling architecture behav of fetch_stage
3983 # -- Loading entity fetch_stage
3984 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3985 # -- Loading package standard
3986 # -- Loading package std_logic_1164
3987 # -- Loading package numeric_std
3988 # -- Loading package common_pkg
3989 # -- Loading package extension_pkg
3990 # -- Loading package core_pkg
3991 # -- Compiling entity decode_stage
3992 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3993 # -- Loading package standard
3994 # -- Loading package std_logic_1164
3995 # -- Loading package numeric_std
3996 # -- Loading package mem_pkg
3997 # -- Loading package common_pkg
3998 # -- Loading package extension_pkg
3999 # -- Loading package core_pkg
4000 # -- Compiling architecture behav of decode_stage
4001 # -- Loading entity decode_stage
4002 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4003 # -- Loading package standard
4004 # -- Loading package std_logic_1164
4005 # -- Loading package numeric_std
4006 # -- Loading package common_pkg
4007 # -- Loading package extension_pkg
4008 # -- Compiling package alu_pkg
4009 # -- Compiling package body alu_pkg
4010 # -- Loading package alu_pkg
4011 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4012 # -- Loading package standard
4013 # -- Loading package std_logic_1164
4014 # -- Loading package numeric_std
4015 # -- Loading package common_pkg
4016 # -- Compiling package extension_pkg
4017 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4018 # -- Loading package standard
4019 # -- Loading package std_logic_1164
4020 # -- Loading package numeric_std
4021 # -- Loading package common_pkg
4022 # -- Loading package extension_pkg
4023 # -- Loading package alu_pkg
4024 # -- Compiling entity exec_op
4025 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4026 # -- Loading package standard
4027 # -- Loading package std_logic_1164
4028 # -- Loading package numeric_std
4029 # -- Loading package common_pkg
4030 # -- Loading package extension_pkg
4031 # -- Loading package alu_pkg
4032 # -- Compiling architecture add_op of exec_op
4033 # -- Loading entity exec_op
4034 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4035 # -- Loading package standard
4036 # -- Loading package std_logic_1164
4037 # -- Loading package numeric_std
4038 # -- Loading package common_pkg
4039 # -- Loading package extension_pkg
4040 # -- Loading package alu_pkg
4041 # -- Compiling architecture and_op of exec_op
4042 # -- Loading entity exec_op
4043 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4044 # -- Loading package standard
4045 # -- Loading package std_logic_1164
4046 # -- Loading package numeric_std
4047 # -- Loading package common_pkg
4048 # -- Loading package extension_pkg
4049 # -- Loading package alu_pkg
4050 # -- Compiling architecture or_op of exec_op
4051 # -- Loading entity exec_op
4052 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4053 # -- Loading package standard
4054 # -- Loading package std_logic_1164
4055 # -- Loading package numeric_std
4056 # -- Loading package common_pkg
4057 # -- Loading package extension_pkg
4058 # -- Loading package alu_pkg
4059 # -- Compiling architecture xor_op of exec_op
4060 # -- Loading entity exec_op
4061 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4062 # -- Loading package standard
4063 # -- Loading package std_logic_1164
4064 # -- Loading package numeric_std
4065 # -- Loading package common_pkg
4066 # -- Loading package extension_pkg
4067 # -- Loading package alu_pkg
4068 # -- Compiling architecture shift_op of exec_op
4069 # -- Loading entity exec_op
4070 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4071 # -- Loading package standard
4072 # -- Loading package std_logic_1164
4073 # -- Loading package numeric_std
4074 # -- Loading package common_pkg
4075 # -- Loading package extension_pkg
4076 # -- Loading package alu_pkg
4077 # -- Compiling entity alu
4078 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4079 # -- Loading package standard
4080 # -- Loading package std_logic_1164
4081 # -- Loading package numeric_std
4082 # -- Loading package common_pkg
4083 # -- Loading package extension_pkg
4084 # -- Loading package alu_pkg
4085 # -- Compiling architecture behaviour of alu
4086 # -- Loading entity alu
4087 # -- Loading entity exec_op
4088 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4089 # -- Loading package standard
4090 # -- Loading package std_logic_1164
4091 # -- Loading package numeric_std
4092 # -- Loading package common_pkg
4093 # -- Compiling package extension_pkg
4094 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4095 # -- Loading package standard
4096 # -- Loading package std_logic_1164
4097 # -- Loading package numeric_std
4098 # -- Loading package common_pkg
4099 # -- Loading package extension_pkg
4100 # -- Compiling entity extension_gpm
4101 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4102 # -- Loading package standard
4103 # -- Loading package std_logic_1164
4104 # -- Loading package numeric_std
4105 # -- Loading package common_pkg
4106 # -- Loading package extension_pkg
4107 # -- Loading package core_pkg
4108 # -- Loading package mem_pkg
4109 # -- Compiling architecture behav of extension_gpm
4110 # -- Loading entity extension_gpm
4111 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4112 # -- Loading package standard
4113 # -- Loading package std_logic_1164
4114 # -- Loading package numeric_std
4115 # -- Loading package common_pkg
4116 # -- Loading package extension_pkg
4117 # -- Loading package alu_pkg
4118 # -- Compiling entity execute_stage
4119 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4120 # -- Loading package standard
4121 # -- Loading package std_logic_1164
4122 # -- Loading package numeric_std
4123 # -- Loading package common_pkg
4124 # -- Loading package extension_pkg
4125 # -- Loading package alu_pkg
4126 # -- Compiling architecture behav of execute_stage
4127 # -- Loading entity execute_stage
4128 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4129 # -- Loading package standard
4130 # -- Loading package std_logic_1164
4131 # -- Loading package numeric_std
4132 # -- Loading package common_pkg
4133 # -- Compiling entity writeback_stage
4134 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4135 # -- Loading package standard
4136 # -- Loading package std_logic_1164
4137 # -- Loading package numeric_std
4138 # -- Loading package common_pkg
4139 # -- Loading package extension_pkg
4140 # -- Loading package core_pkg
4141 # -- Loading package mem_pkg
4142 # -- Loading package extension_uart_pkg
4143 # -- Loading package extension_7seg_pkg
4144 # -- Compiling architecture behav of writeback_stage
4145 # -- Loading entity writeback_stage
4146 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
4147 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
4148 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
4149 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
4150 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4151 # -- Loading package standard
4152 # -- Loading package std_logic_1164
4153 # -- Loading package numeric_std
4154 # -- Loading package common_pkg
4155 # -- Loading package extension_pkg
4156 # -- Loading package core_pkg
4157 # -- Compiling entity pipeline_tb
4158 # -- Compiling architecture behavior of pipeline_tb
4159 # -- Compiling configuration pipeline_conf_beh
4160 # -- Loading entity pipeline_tb
4161 # -- Loading architecture behavior of pipeline_tb
4162 # -- Loading entity fetch_stage
4163 # -- Loading entity decode_stage
4164 # -- Loading package alu_pkg
4165 # -- Loading entity execute_stage
4166 # -- Loading entity writeback_stage
4167 # vsim -t ns work.pipeline_conf_beh 
4168 # Loading std.standard
4169 # Loading ieee.std_logic_1164(body)
4170 # Loading ieee.numeric_std(body)
4171 # Loading work.common_pkg(body)
4172 # Loading work.extension_pkg
4173 # Loading work.core_pkg
4174 # Loading work.alu_pkg(body)
4175 # Loading work.pipeline_conf_beh
4176 # Loading work.pipeline_tb(behavior)
4177 # Loading work.mem_pkg
4178 # Loading work.fetch_stage(behav)
4179 # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound.
4180 #    Time: 0 ns  Iteration: 0  Region: /pipeline_tb/fetch_st  File: ../src/fetch_stage_b.vhd
4181 # Loading work.decode_stage(behav)
4182 # Loading work.r2_w_ram(behaviour)
4183 # Loading work.decoder(behav_d)
4184 # Loading work.execute_stage(behav)
4185 # Loading work.alu(behaviour)
4186 # Loading work.exec_op(add_op)
4187 # Loading work.exec_op(and_op)
4188 # Loading work.exec_op(or_op)
4189 # Loading work.exec_op(xor_op)
4190 # Loading work.exec_op(shift_op)
4191 # Loading work.extension_gpm(behav)
4192 # Loading work.extension_uart_pkg
4193 # Loading work.extension_7seg_pkg(body)
4194 # Loading work.writeback_stage(behav)
4195 # Loading work.r_w_ram(behaviour)
4196 # Loading work.extension_uart(behav)
4197 # Loading ieee.std_logic_arith(body)
4198 # Loading ieee.std_logic_unsigned(body)
4199 # Loading work.rs232_tx(beh)
4200 # Loading work.rs232_rx(beh)
4201 # Loading work.extension_7seg(behav)
4202 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4203 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4204 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4205 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4206 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4207 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4208 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4209 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4210 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4211 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4212 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4213 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4214 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4215 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
4216 do testcore.do
4217 # ** Warning: (vlib-34) Library already exists at "work".
4218 # Modifying modelsim.ini
4219 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4220 # -- Loading package standard
4221 # -- Loading package std_logic_1164
4222 # -- Loading package numeric_std
4223 # -- Compiling package mem_pkg
4224 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4225 # -- Loading package standard
4226 # -- Loading package std_logic_1164
4227 # -- Loading package numeric_std
4228 # -- Compiling entity r_w_ram
4229 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4230 # -- Loading package standard
4231 # -- Loading package std_logic_1164
4232 # -- Loading package numeric_std
4233 # -- Loading package mem_pkg
4234 # -- Compiling architecture behaviour of r_w_ram
4235 # -- Loading entity r_w_ram
4236 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4237 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4238 # -- Loading package standard
4239 # -- Loading package std_logic_1164
4240 # -- Loading package numeric_std
4241 # -- Loading package mem_pkg
4242 # -- Compiling entity r2_w_ram
4243 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4244 # -- Loading package standard
4245 # -- Loading package std_logic_1164
4246 # -- Loading package numeric_std
4247 # -- Loading package mem_pkg
4248 # -- Compiling architecture behaviour of r2_w_ram
4249 # -- Loading entity r2_w_ram
4250 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4251 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4252 # -- Loading package standard
4253 # -- Loading package std_logic_1164
4254 # -- Loading package numeric_std
4255 # -- Compiling package common_pkg
4256 # -- Compiling package body common_pkg
4257 # -- Loading package common_pkg
4258 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4259 # -- Loading package standard
4260 # -- Loading package std_logic_1164
4261 # -- Loading package numeric_std
4262 # -- Loading package common_pkg
4263 # -- Loading package extension_pkg
4264 # -- Compiling package core_pkg
4265 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4266 # -- Loading package standard
4267 # -- Loading package std_logic_1164
4268 # -- Loading package numeric_std
4269 # -- Loading package common_pkg
4270 # -- Compiling package extension_pkg
4271 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4272 # -- Loading package standard
4273 # -- Loading package std_logic_1164
4274 # -- Loading package numeric_std
4275 # -- Loading package common_pkg
4276 # -- Loading package extension_pkg
4277 # -- Compiling package extension_uart_pkg
4278 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4279 # -- Loading package standard
4280 # -- Loading package std_logic_1164
4281 # -- Loading package numeric_std
4282 # -- Loading package common_pkg
4283 # -- Loading package extension_pkg
4284 # -- Loading package extension_uart_pkg
4285 # -- Compiling entity extension_uart
4286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4287 # -- Loading package standard
4288 # -- Loading package std_logic_1164
4289 # -- Loading package numeric_std
4290 # -- Loading package common_pkg
4291 # -- Loading package extension_pkg
4292 # -- Loading package core_pkg
4293 # -- Loading package mem_pkg
4294 # -- Loading package extension_uart_pkg
4295 # -- Compiling architecture behav of extension_uart
4296 # -- Loading entity extension_uart
4297 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4298 # -- Loading package standard
4299 # -- Loading package std_logic_1164
4300 # -- Loading package numeric_std
4301 # -- Loading package common_pkg
4302 # -- Loading package extension_pkg
4303 # -- Compiling package extension_7seg_pkg
4304 # -- Compiling package body extension_7seg_pkg
4305 # -- Loading package extension_7seg_pkg
4306 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4307 # -- Loading package standard
4308 # -- Loading package std_logic_1164
4309 # -- Loading package numeric_std
4310 # -- Loading package common_pkg
4311 # -- Loading package extension_pkg
4312 # -- Loading package extension_7seg_pkg
4313 # -- Compiling entity extension_7seg
4314 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4315 # -- Loading package standard
4316 # -- Loading package std_logic_1164
4317 # -- Loading package numeric_std
4318 # -- Loading package common_pkg
4319 # -- Loading package extension_pkg
4320 # -- Loading package core_pkg
4321 # -- Loading package mem_pkg
4322 # -- Loading package extension_7seg_pkg
4323 # -- Compiling architecture behav of extension_7seg
4324 # -- Loading entity extension_7seg
4325 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4326 # -- Loading package standard
4327 # -- Loading package std_logic_1164
4328 # -- Loading package std_logic_arith
4329 # -- Loading package std_logic_unsigned
4330 # -- Loading package numeric_std
4331 # -- Loading package common_pkg
4332 # -- Loading package extension_pkg
4333 # -- Loading package core_pkg
4334 # -- Loading package extension_uart_pkg
4335 # -- Compiling entity rs232_tx
4336 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4337 # -- Loading package standard
4338 # -- Loading package std_logic_1164
4339 # -- Loading package numeric_std
4340 # -- Loading package common_pkg
4341 # -- Loading package extension_pkg
4342 # -- Loading package core_pkg
4343 # -- Loading package extension_uart_pkg
4344 # -- Compiling architecture beh of rs232_tx
4345 # -- Loading package std_logic_arith
4346 # -- Loading package std_logic_unsigned
4347 # -- Loading entity rs232_tx
4348 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4349 # -- Loading package standard
4350 # -- Loading package std_logic_1164
4351 # -- Loading package std_logic_arith
4352 # -- Loading package std_logic_unsigned
4353 # -- Loading package numeric_std
4354 # -- Loading package common_pkg
4355 # -- Loading package extension_pkg
4356 # -- Loading package core_pkg
4357 # -- Loading package extension_uart_pkg
4358 # -- Compiling entity rs232_rx
4359 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4360 # -- Loading package standard
4361 # -- Loading package std_logic_1164
4362 # -- Loading package numeric_std
4363 # -- Loading package common_pkg
4364 # -- Loading package extension_pkg
4365 # -- Loading package core_pkg
4366 # -- Loading package extension_uart_pkg
4367 # -- Compiling architecture beh of rs232_rx
4368 # -- Loading package std_logic_arith
4369 # -- Loading package std_logic_unsigned
4370 # -- Loading entity rs232_rx
4371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4372 # -- Loading package standard
4373 # -- Loading package std_logic_1164
4374 # -- Loading package numeric_std
4375 # -- Loading package common_pkg
4376 # -- Loading package extension_pkg
4377 # -- Loading package core_pkg
4378 # -- Compiling entity decoder
4379 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4380 # -- Loading package standard
4381 # -- Loading package std_logic_1164
4382 # -- Loading package numeric_std
4383 # -- Loading package mem_pkg
4384 # -- Loading package common_pkg
4385 # -- Loading package extension_pkg
4386 # -- Loading package core_pkg
4387 # -- Compiling architecture behav_d of decoder
4388 # -- Loading entity decoder
4389 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4390 # -- Loading package standard
4391 # -- Loading package std_logic_1164
4392 # -- Loading package numeric_std
4393 # -- Loading package common_pkg
4394 # -- Loading package extension_pkg
4395 # -- Loading package core_pkg
4396 # -- Compiling entity fetch_stage
4397 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4398 # -- Loading package standard
4399 # -- Loading package std_logic_1164
4400 # -- Loading package numeric_std
4401 # -- Loading package common_pkg
4402 # -- Loading package extension_pkg
4403 # -- Loading package core_pkg
4404 # -- Loading package mem_pkg
4405 # -- Compiling architecture behav of fetch_stage
4406 # -- Loading entity fetch_stage
4407 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4408 # -- Loading package standard
4409 # -- Loading package std_logic_1164
4410 # -- Loading package numeric_std
4411 # -- Loading package common_pkg
4412 # -- Loading package extension_pkg
4413 # -- Loading package core_pkg
4414 # -- Compiling entity decode_stage
4415 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4416 # -- Loading package standard
4417 # -- Loading package std_logic_1164
4418 # -- Loading package numeric_std
4419 # -- Loading package mem_pkg
4420 # -- Loading package common_pkg
4421 # -- Loading package extension_pkg
4422 # -- Loading package core_pkg
4423 # -- Compiling architecture behav of decode_stage
4424 # -- Loading entity decode_stage
4425 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4426 # -- Loading package standard
4427 # -- Loading package std_logic_1164
4428 # -- Loading package numeric_std
4429 # -- Loading package common_pkg
4430 # -- Loading package extension_pkg
4431 # -- Compiling package alu_pkg
4432 # -- Compiling package body alu_pkg
4433 # -- Loading package alu_pkg
4434 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4435 # -- Loading package standard
4436 # -- Loading package std_logic_1164
4437 # -- Loading package numeric_std
4438 # -- Loading package common_pkg
4439 # -- Compiling package extension_pkg
4440 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4441 # -- Loading package standard
4442 # -- Loading package std_logic_1164
4443 # -- Loading package numeric_std
4444 # -- Loading package common_pkg
4445 # -- Loading package extension_pkg
4446 # -- Loading package alu_pkg
4447 # -- Compiling entity exec_op
4448 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4449 # -- Loading package standard
4450 # -- Loading package std_logic_1164
4451 # -- Loading package numeric_std
4452 # -- Loading package common_pkg
4453 # -- Loading package extension_pkg
4454 # -- Loading package alu_pkg
4455 # -- Compiling architecture add_op of exec_op
4456 # -- Loading entity exec_op
4457 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4458 # -- Loading package standard
4459 # -- Loading package std_logic_1164
4460 # -- Loading package numeric_std
4461 # -- Loading package common_pkg
4462 # -- Loading package extension_pkg
4463 # -- Loading package alu_pkg
4464 # -- Compiling architecture and_op of exec_op
4465 # -- Loading entity exec_op
4466 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4467 # -- Loading package standard
4468 # -- Loading package std_logic_1164
4469 # -- Loading package numeric_std
4470 # -- Loading package common_pkg
4471 # -- Loading package extension_pkg
4472 # -- Loading package alu_pkg
4473 # -- Compiling architecture or_op of exec_op
4474 # -- Loading entity exec_op
4475 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4476 # -- Loading package standard
4477 # -- Loading package std_logic_1164
4478 # -- Loading package numeric_std
4479 # -- Loading package common_pkg
4480 # -- Loading package extension_pkg
4481 # -- Loading package alu_pkg
4482 # -- Compiling architecture xor_op of exec_op
4483 # -- Loading entity exec_op
4484 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4485 # -- Loading package standard
4486 # -- Loading package std_logic_1164
4487 # -- Loading package numeric_std
4488 # -- Loading package common_pkg
4489 # -- Loading package extension_pkg
4490 # -- Loading package alu_pkg
4491 # -- Compiling architecture shift_op of exec_op
4492 # -- Loading entity exec_op
4493 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4494 # -- Loading package standard
4495 # -- Loading package std_logic_1164
4496 # -- Loading package numeric_std
4497 # -- Loading package common_pkg
4498 # -- Loading package extension_pkg
4499 # -- Loading package alu_pkg
4500 # -- Compiling entity alu
4501 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4502 # -- Loading package standard
4503 # -- Loading package std_logic_1164
4504 # -- Loading package numeric_std
4505 # -- Loading package common_pkg
4506 # -- Loading package extension_pkg
4507 # -- Loading package alu_pkg
4508 # -- Compiling architecture behaviour of alu
4509 # -- Loading entity alu
4510 # -- Loading entity exec_op
4511 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4512 # -- Loading package standard
4513 # -- Loading package std_logic_1164
4514 # -- Loading package numeric_std
4515 # -- Loading package common_pkg
4516 # -- Compiling package extension_pkg
4517 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4518 # -- Loading package standard
4519 # -- Loading package std_logic_1164
4520 # -- Loading package numeric_std
4521 # -- Loading package common_pkg
4522 # -- Loading package extension_pkg
4523 # -- Compiling entity extension_gpm
4524 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4525 # -- Loading package standard
4526 # -- Loading package std_logic_1164
4527 # -- Loading package numeric_std
4528 # -- Loading package common_pkg
4529 # -- Loading package extension_pkg
4530 # -- Loading package core_pkg
4531 # -- Loading package mem_pkg
4532 # -- Compiling architecture behav of extension_gpm
4533 # -- Loading entity extension_gpm
4534 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4535 # -- Loading package standard
4536 # -- Loading package std_logic_1164
4537 # -- Loading package numeric_std
4538 # -- Loading package common_pkg
4539 # -- Loading package extension_pkg
4540 # -- Loading package alu_pkg
4541 # -- Compiling entity execute_stage
4542 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4543 # -- Loading package standard
4544 # -- Loading package std_logic_1164
4545 # -- Loading package numeric_std
4546 # -- Loading package common_pkg
4547 # -- Loading package extension_pkg
4548 # -- Loading package alu_pkg
4549 # -- Compiling architecture behav of execute_stage
4550 # -- Loading entity execute_stage
4551 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4552 # -- Loading package standard
4553 # -- Loading package std_logic_1164
4554 # -- Loading package numeric_std
4555 # -- Loading package common_pkg
4556 # -- Compiling entity writeback_stage
4557 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4558 # -- Loading package standard
4559 # -- Loading package std_logic_1164
4560 # -- Loading package numeric_std
4561 # -- Loading package common_pkg
4562 # -- Loading package extension_pkg
4563 # -- Loading package core_pkg
4564 # -- Loading package mem_pkg
4565 # -- Loading package extension_uart_pkg
4566 # -- Loading package extension_7seg_pkg
4567 # -- Compiling architecture behav of writeback_stage
4568 # -- Loading entity writeback_stage
4569 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
4570 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
4571 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
4572 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
4573 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4574 # -- Loading package standard
4575 # -- Loading package std_logic_1164
4576 # -- Loading package numeric_std
4577 # -- Loading package common_pkg
4578 # -- Loading package extension_pkg
4579 # -- Loading package core_pkg
4580 # -- Compiling entity pipeline_tb
4581 # -- Compiling architecture behavior of pipeline_tb
4582 # -- Compiling configuration pipeline_conf_beh
4583 # -- Loading entity pipeline_tb
4584 # -- Loading architecture behavior of pipeline_tb
4585 # -- Loading entity fetch_stage
4586 # -- Loading entity decode_stage
4587 # -- Loading package alu_pkg
4588 # -- Loading entity execute_stage
4589 # -- Loading entity writeback_stage
4590 # vsim -t ns work.pipeline_conf_beh 
4591 # Loading std.standard
4592 # Loading ieee.std_logic_1164(body)
4593 # Loading ieee.numeric_std(body)
4594 # Loading work.common_pkg(body)
4595 # Loading work.extension_pkg
4596 # Loading work.core_pkg
4597 # Loading work.alu_pkg(body)
4598 # Loading work.pipeline_conf_beh
4599 # Loading work.pipeline_tb(behavior)
4600 # Loading work.mem_pkg
4601 # Loading work.fetch_stage(behav)
4602 # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound.
4603 #    Time: 0 ns  Iteration: 0  Region: /pipeline_tb/fetch_st  File: ../src/fetch_stage_b.vhd
4604 # Loading work.decode_stage(behav)
4605 # Loading work.r2_w_ram(behaviour)
4606 # Loading work.decoder(behav_d)
4607 # Loading work.execute_stage(behav)
4608 # Loading work.alu(behaviour)
4609 # Loading work.exec_op(add_op)
4610 # Loading work.exec_op(and_op)
4611 # Loading work.exec_op(or_op)
4612 # Loading work.exec_op(xor_op)
4613 # Loading work.exec_op(shift_op)
4614 # Loading work.extension_gpm(behav)
4615 # Loading work.extension_uart_pkg
4616 # Loading work.extension_7seg_pkg(body)
4617 # Loading work.writeback_stage(behav)
4618 # Loading work.r_w_ram(behaviour)
4619 # Loading work.extension_uart(behav)
4620 # Loading ieee.std_logic_arith(body)
4621 # Loading ieee.std_logic_unsigned(body)
4622 # Loading work.rs232_tx(beh)
4623 # Loading work.rs232_rx(beh)
4624 # Loading work.extension_7seg(behav)
4625 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4626 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4627 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4628 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4629 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4630 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4631 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4632 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4633 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4634 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4635 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4636 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4637 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4638 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
4639 do testcore.do
4640 # ** Warning: (vlib-34) Library already exists at "work".
4641 # Modifying modelsim.ini
4642 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4643 # -- Loading package standard
4644 # -- Loading package std_logic_1164
4645 # -- Loading package numeric_std
4646 # -- Compiling package mem_pkg
4647 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4648 # -- Loading package standard
4649 # -- Loading package std_logic_1164
4650 # -- Loading package numeric_std
4651 # -- Compiling entity r_w_ram
4652 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4653 # -- Loading package standard
4654 # -- Loading package std_logic_1164
4655 # -- Loading package numeric_std
4656 # -- Loading package mem_pkg
4657 # -- Compiling architecture behaviour of r_w_ram
4658 # -- Loading entity r_w_ram
4659 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4660 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4661 # -- Loading package standard
4662 # -- Loading package std_logic_1164
4663 # -- Loading package numeric_std
4664 # -- Loading package mem_pkg
4665 # -- Compiling entity r2_w_ram
4666 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4667 # -- Loading package standard
4668 # -- Loading package std_logic_1164
4669 # -- Loading package numeric_std
4670 # -- Loading package mem_pkg
4671 # -- Compiling architecture behaviour of r2_w_ram
4672 # -- Loading entity r2_w_ram
4673 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4674 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4675 # -- Loading package standard
4676 # -- Loading package std_logic_1164
4677 # -- Loading package numeric_std
4678 # -- Compiling package common_pkg
4679 # -- Compiling package body common_pkg
4680 # -- Loading package common_pkg
4681 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4682 # -- Loading package standard
4683 # -- Loading package std_logic_1164
4684 # -- Loading package numeric_std
4685 # -- Loading package common_pkg
4686 # -- Loading package extension_pkg
4687 # -- Compiling package core_pkg
4688 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4689 # -- Loading package standard
4690 # -- Loading package std_logic_1164
4691 # -- Loading package numeric_std
4692 # -- Loading package common_pkg
4693 # -- Compiling package extension_pkg
4694 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4695 # -- Loading package standard
4696 # -- Loading package std_logic_1164
4697 # -- Loading package numeric_std
4698 # -- Loading package common_pkg
4699 # -- Loading package extension_pkg
4700 # -- Compiling package extension_uart_pkg
4701 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4702 # -- Loading package standard
4703 # -- Loading package std_logic_1164
4704 # -- Loading package numeric_std
4705 # -- Loading package common_pkg
4706 # -- Loading package extension_pkg
4707 # -- Loading package extension_uart_pkg
4708 # -- Compiling entity extension_uart
4709 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4710 # -- Loading package standard
4711 # -- Loading package std_logic_1164
4712 # -- Loading package numeric_std
4713 # -- Loading package common_pkg
4714 # -- Loading package extension_pkg
4715 # -- Loading package core_pkg
4716 # -- Loading package mem_pkg
4717 # -- Loading package extension_uart_pkg
4718 # -- Compiling architecture behav of extension_uart
4719 # -- Loading entity extension_uart
4720 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4721 # -- Loading package standard
4722 # -- Loading package std_logic_1164
4723 # -- Loading package numeric_std
4724 # -- Loading package common_pkg
4725 # -- Loading package extension_pkg
4726 # -- Compiling package extension_7seg_pkg
4727 # -- Compiling package body extension_7seg_pkg
4728 # -- Loading package extension_7seg_pkg
4729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4730 # -- Loading package standard
4731 # -- Loading package std_logic_1164
4732 # -- Loading package numeric_std
4733 # -- Loading package common_pkg
4734 # -- Loading package extension_pkg
4735 # -- Loading package extension_7seg_pkg
4736 # -- Compiling entity extension_7seg
4737 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4738 # -- Loading package standard
4739 # -- Loading package std_logic_1164
4740 # -- Loading package numeric_std
4741 # -- Loading package common_pkg
4742 # -- Loading package extension_pkg
4743 # -- Loading package core_pkg
4744 # -- Loading package mem_pkg
4745 # -- Loading package extension_7seg_pkg
4746 # -- Compiling architecture behav of extension_7seg
4747 # -- Loading entity extension_7seg
4748 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4749 # -- Loading package standard
4750 # -- Loading package std_logic_1164
4751 # -- Loading package std_logic_arith
4752 # -- Loading package std_logic_unsigned
4753 # -- Loading package numeric_std
4754 # -- Loading package common_pkg
4755 # -- Loading package extension_pkg
4756 # -- Loading package core_pkg
4757 # -- Loading package extension_uart_pkg
4758 # -- Compiling entity rs232_tx
4759 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4760 # -- Loading package standard
4761 # -- Loading package std_logic_1164
4762 # -- Loading package numeric_std
4763 # -- Loading package common_pkg
4764 # -- Loading package extension_pkg
4765 # -- Loading package core_pkg
4766 # -- Loading package extension_uart_pkg
4767 # -- Compiling architecture beh of rs232_tx
4768 # -- Loading package std_logic_arith
4769 # -- Loading package std_logic_unsigned
4770 # -- Loading entity rs232_tx
4771 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4772 # -- Loading package standard
4773 # -- Loading package std_logic_1164
4774 # -- Loading package std_logic_arith
4775 # -- Loading package std_logic_unsigned
4776 # -- Loading package numeric_std
4777 # -- Loading package common_pkg
4778 # -- Loading package extension_pkg
4779 # -- Loading package core_pkg
4780 # -- Loading package extension_uart_pkg
4781 # -- Compiling entity rs232_rx
4782 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4783 # -- Loading package standard
4784 # -- Loading package std_logic_1164
4785 # -- Loading package numeric_std
4786 # -- Loading package common_pkg
4787 # -- Loading package extension_pkg
4788 # -- Loading package core_pkg
4789 # -- Loading package extension_uart_pkg
4790 # -- Compiling architecture beh of rs232_rx
4791 # -- Loading package std_logic_arith
4792 # -- Loading package std_logic_unsigned
4793 # -- Loading entity rs232_rx
4794 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4795 # -- Loading package standard
4796 # -- Loading package std_logic_1164
4797 # -- Loading package numeric_std
4798 # -- Loading package common_pkg
4799 # -- Loading package extension_pkg
4800 # -- Loading package core_pkg
4801 # -- Compiling entity decoder
4802 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4803 # -- Loading package standard
4804 # -- Loading package std_logic_1164
4805 # -- Loading package numeric_std
4806 # -- Loading package mem_pkg
4807 # -- Loading package common_pkg
4808 # -- Loading package extension_pkg
4809 # -- Loading package core_pkg
4810 # -- Compiling architecture behav_d of decoder
4811 # -- Loading entity decoder
4812 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4813 # -- Loading package standard
4814 # -- Loading package std_logic_1164
4815 # -- Loading package numeric_std
4816 # -- Loading package common_pkg
4817 # -- Loading package extension_pkg
4818 # -- Loading package core_pkg
4819 # -- Compiling entity fetch_stage
4820 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4821 # -- Loading package standard
4822 # -- Loading package std_logic_1164
4823 # -- Loading package numeric_std
4824 # -- Loading package common_pkg
4825 # -- Loading package extension_pkg
4826 # -- Loading package core_pkg
4827 # -- Loading package mem_pkg
4828 # -- Compiling architecture behav of fetch_stage
4829 # -- Loading entity fetch_stage
4830 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4831 # -- Loading package standard
4832 # -- Loading package std_logic_1164
4833 # -- Loading package numeric_std
4834 # -- Loading package common_pkg
4835 # -- Loading package extension_pkg
4836 # -- Loading package core_pkg
4837 # -- Compiling entity decode_stage
4838 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4839 # -- Loading package standard
4840 # -- Loading package std_logic_1164
4841 # -- Loading package numeric_std
4842 # -- Loading package mem_pkg
4843 # -- Loading package common_pkg
4844 # -- Loading package extension_pkg
4845 # -- Loading package core_pkg
4846 # -- Compiling architecture behav of decode_stage
4847 # -- Loading entity decode_stage
4848 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4849 # -- Loading package standard
4850 # -- Loading package std_logic_1164
4851 # -- Loading package numeric_std
4852 # -- Loading package common_pkg
4853 # -- Loading package extension_pkg
4854 # -- Compiling package alu_pkg
4855 # -- Compiling package body alu_pkg
4856 # -- Loading package alu_pkg
4857 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4858 # -- Loading package standard
4859 # -- Loading package std_logic_1164
4860 # -- Loading package numeric_std
4861 # -- Loading package common_pkg
4862 # -- Compiling package extension_pkg
4863 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4864 # -- Loading package standard
4865 # -- Loading package std_logic_1164
4866 # -- Loading package numeric_std
4867 # -- Loading package common_pkg
4868 # -- Loading package extension_pkg
4869 # -- Loading package alu_pkg
4870 # -- Compiling entity exec_op
4871 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4872 # -- Loading package standard
4873 # -- Loading package std_logic_1164
4874 # -- Loading package numeric_std
4875 # -- Loading package common_pkg
4876 # -- Loading package extension_pkg
4877 # -- Loading package alu_pkg
4878 # -- Compiling architecture add_op of exec_op
4879 # -- Loading entity exec_op
4880 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4881 # -- Loading package standard
4882 # -- Loading package std_logic_1164
4883 # -- Loading package numeric_std
4884 # -- Loading package common_pkg
4885 # -- Loading package extension_pkg
4886 # -- Loading package alu_pkg
4887 # -- Compiling architecture and_op of exec_op
4888 # -- Loading entity exec_op
4889 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4890 # -- Loading package standard
4891 # -- Loading package std_logic_1164
4892 # -- Loading package numeric_std
4893 # -- Loading package common_pkg
4894 # -- Loading package extension_pkg
4895 # -- Loading package alu_pkg
4896 # -- Compiling architecture or_op of exec_op
4897 # -- Loading entity exec_op
4898 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4899 # -- Loading package standard
4900 # -- Loading package std_logic_1164
4901 # -- Loading package numeric_std
4902 # -- Loading package common_pkg
4903 # -- Loading package extension_pkg
4904 # -- Loading package alu_pkg
4905 # -- Compiling architecture xor_op of exec_op
4906 # -- Loading entity exec_op
4907 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4908 # -- Loading package standard
4909 # -- Loading package std_logic_1164
4910 # -- Loading package numeric_std
4911 # -- Loading package common_pkg
4912 # -- Loading package extension_pkg
4913 # -- Loading package alu_pkg
4914 # -- Compiling architecture shift_op of exec_op
4915 # -- Loading entity exec_op
4916 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4917 # -- Loading package standard
4918 # -- Loading package std_logic_1164
4919 # -- Loading package numeric_std
4920 # -- Loading package common_pkg
4921 # -- Loading package extension_pkg
4922 # -- Loading package alu_pkg
4923 # -- Compiling entity alu
4924 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4925 # -- Loading package standard
4926 # -- Loading package std_logic_1164
4927 # -- Loading package numeric_std
4928 # -- Loading package common_pkg
4929 # -- Loading package extension_pkg
4930 # -- Loading package alu_pkg
4931 # -- Compiling architecture behaviour of alu
4932 # -- Loading entity alu
4933 # -- Loading entity exec_op
4934 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4935 # -- Loading package standard
4936 # -- Loading package std_logic_1164
4937 # -- Loading package numeric_std
4938 # -- Loading package common_pkg
4939 # -- Compiling package extension_pkg
4940 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4941 # -- Loading package standard
4942 # -- Loading package std_logic_1164
4943 # -- Loading package numeric_std
4944 # -- Loading package common_pkg
4945 # -- Loading package extension_pkg
4946 # -- Compiling entity extension_gpm
4947 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4948 # -- Loading package standard
4949 # -- Loading package std_logic_1164
4950 # -- Loading package numeric_std
4951 # -- Loading package common_pkg
4952 # -- Loading package extension_pkg
4953 # -- Loading package core_pkg
4954 # -- Loading package mem_pkg
4955 # -- Compiling architecture behav of extension_gpm
4956 # -- Loading entity extension_gpm
4957 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4958 # -- Loading package standard
4959 # -- Loading package std_logic_1164
4960 # -- Loading package numeric_std
4961 # -- Loading package common_pkg
4962 # -- Loading package extension_pkg
4963 # -- Loading package alu_pkg
4964 # -- Compiling entity execute_stage
4965 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4966 # -- Loading package standard
4967 # -- Loading package std_logic_1164
4968 # -- Loading package numeric_std
4969 # -- Loading package common_pkg
4970 # -- Loading package extension_pkg
4971 # -- Loading package alu_pkg
4972 # -- Compiling architecture behav of execute_stage
4973 # -- Loading entity execute_stage
4974 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4975 # -- Loading package standard
4976 # -- Loading package std_logic_1164
4977 # -- Loading package numeric_std
4978 # -- Loading package common_pkg
4979 # -- Compiling entity writeback_stage
4980 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4981 # -- Loading package standard
4982 # -- Loading package std_logic_1164
4983 # -- Loading package numeric_std
4984 # -- Loading package common_pkg
4985 # -- Loading package extension_pkg
4986 # -- Loading package core_pkg
4987 # -- Loading package mem_pkg
4988 # -- Loading package extension_uart_pkg
4989 # -- Loading package extension_7seg_pkg
4990 # -- Compiling architecture behav of writeback_stage
4991 # -- Loading entity writeback_stage
4992 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
4993 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
4994 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
4995 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
4996 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4997 # -- Loading package standard
4998 # -- Loading package std_logic_1164
4999 # -- Loading package numeric_std
5000 # -- Loading package common_pkg
5001 # -- Loading package extension_pkg
5002 # -- Loading package core_pkg
5003 # -- Compiling entity pipeline_tb
5004 # -- Compiling architecture behavior of pipeline_tb
5005 # -- Compiling configuration pipeline_conf_beh
5006 # -- Loading entity pipeline_tb
5007 # -- Loading architecture behavior of pipeline_tb
5008 # -- Loading entity fetch_stage
5009 # -- Loading entity decode_stage
5010 # -- Loading package alu_pkg
5011 # -- Loading entity execute_stage
5012 # -- Loading entity writeback_stage
5013 # vsim -t ns work.pipeline_conf_beh 
5014 # Loading std.standard
5015 # Loading ieee.std_logic_1164(body)
5016 # Loading ieee.numeric_std(body)
5017 # Loading work.common_pkg(body)
5018 # Loading work.extension_pkg
5019 # Loading work.core_pkg
5020 # Loading work.alu_pkg(body)
5021 # Loading work.pipeline_conf_beh
5022 # Loading work.pipeline_tb(behavior)
5023 # Loading work.mem_pkg
5024 # Loading work.fetch_stage(behav)
5025 # ** Warning: (vsim-3473) Component instance "instruction_ram : rom" is not bound.
5026 #    Time: 0 ns  Iteration: 0  Region: /pipeline_tb/fetch_st  File: ../src/fetch_stage_b.vhd
5027 # Loading work.decode_stage(behav)
5028 # Loading work.r2_w_ram(behaviour)
5029 # Loading work.decoder(behav_d)
5030 # Loading work.execute_stage(behav)
5031 # Loading work.alu(behaviour)
5032 # Loading work.exec_op(add_op)
5033 # Loading work.exec_op(and_op)
5034 # Loading work.exec_op(or_op)
5035 # Loading work.exec_op(xor_op)
5036 # Loading work.exec_op(shift_op)
5037 # Loading work.extension_gpm(behav)
5038 # Loading work.extension_uart_pkg
5039 # Loading work.extension_7seg_pkg(body)
5040 # Loading work.writeback_stage(behav)
5041 # Loading work.r_w_ram(behaviour)
5042 # Loading work.extension_uart(behav)
5043 # Loading ieee.std_logic_arith(body)
5044 # Loading ieee.std_logic_unsigned(body)
5045 # Loading work.rs232_tx(beh)
5046 # Loading work.rs232_rx(beh)
5047 # Loading work.extension_7seg(behav)
5048 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5049 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
5050 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5051 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5052 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5053 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5054 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5055 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5056 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5057 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5058 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5059 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5060 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5061 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
5062 do testcore.do
5063 # ** Warning: (vlib-34) Library already exists at "work".
5064 # Modifying modelsim.ini
5065 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5066 # -- Loading package standard
5067 # -- Loading package std_logic_1164
5068 # -- Loading package numeric_std
5069 # -- Compiling package mem_pkg
5070 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5071 # -- Loading package standard
5072 # -- Loading package std_logic_1164
5073 # -- Loading package numeric_std
5074 # -- Compiling entity r_w_ram
5075 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5076 # -- Loading package standard
5077 # -- Loading package std_logic_1164
5078 # -- Loading package numeric_std
5079 # -- Loading package mem_pkg
5080 # -- Compiling architecture behaviour of r_w_ram
5081 # -- Loading entity r_w_ram
5082 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5083 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5084 # -- Loading package standard
5085 # -- Loading package std_logic_1164
5086 # -- Loading package numeric_std
5087 # -- Loading package mem_pkg
5088 # -- Compiling entity r2_w_ram
5089 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5090 # -- Loading package standard
5091 # -- Loading package std_logic_1164
5092 # -- Loading package numeric_std
5093 # -- Loading package mem_pkg
5094 # -- Compiling architecture behaviour of r2_w_ram
5095 # -- Loading entity r2_w_ram
5096 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5097 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5098 # -- Loading package standard
5099 # -- Loading package std_logic_1164
5100 # -- Loading package numeric_std
5101 # -- Compiling entity rom
5102 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5103 # -- Loading package standard
5104 # -- Loading package std_logic_1164
5105 # -- Loading package numeric_std
5106 # -- Loading package mem_pkg
5107 # -- Compiling architecture behaviour of rom
5108 # -- Loading entity rom
5109 # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5110 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5111 # -- Loading package standard
5112 # -- Loading package std_logic_1164
5113 # -- Loading package numeric_std
5114 # -- Compiling package common_pkg
5115 # -- Compiling package body common_pkg
5116 # -- Loading package common_pkg
5117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5118 # -- Loading package standard
5119 # -- Loading package std_logic_1164
5120 # -- Loading package numeric_std
5121 # -- Loading package common_pkg
5122 # -- Loading package extension_pkg
5123 # -- Compiling package core_pkg
5124 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5125 # -- Loading package standard
5126 # -- Loading package std_logic_1164
5127 # -- Loading package numeric_std
5128 # -- Loading package common_pkg
5129 # -- Compiling package extension_pkg
5130 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5131 # -- Loading package standard
5132 # -- Loading package std_logic_1164
5133 # -- Loading package numeric_std
5134 # -- Loading package common_pkg
5135 # -- Loading package extension_pkg
5136 # -- Compiling package extension_uart_pkg
5137 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5138 # -- Loading package standard
5139 # -- Loading package std_logic_1164
5140 # -- Loading package numeric_std
5141 # -- Loading package common_pkg
5142 # -- Loading package extension_pkg
5143 # -- Loading package extension_uart_pkg
5144 # -- Compiling entity extension_uart
5145 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5146 # -- Loading package standard
5147 # -- Loading package std_logic_1164
5148 # -- Loading package numeric_std
5149 # -- Loading package common_pkg
5150 # -- Loading package extension_pkg
5151 # -- Loading package core_pkg
5152 # -- Loading package mem_pkg
5153 # -- Loading package extension_uart_pkg
5154 # -- Compiling architecture behav of extension_uart
5155 # -- Loading entity extension_uart
5156 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5157 # -- Loading package standard
5158 # -- Loading package std_logic_1164
5159 # -- Loading package numeric_std
5160 # -- Loading package common_pkg
5161 # -- Loading package extension_pkg
5162 # -- Compiling package extension_7seg_pkg
5163 # -- Compiling package body extension_7seg_pkg
5164 # -- Loading package extension_7seg_pkg
5165 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5166 # -- Loading package standard
5167 # -- Loading package std_logic_1164
5168 # -- Loading package numeric_std
5169 # -- Loading package common_pkg
5170 # -- Loading package extension_pkg
5171 # -- Loading package extension_7seg_pkg
5172 # -- Compiling entity extension_7seg
5173 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5174 # -- Loading package standard
5175 # -- Loading package std_logic_1164
5176 # -- Loading package numeric_std
5177 # -- Loading package common_pkg
5178 # -- Loading package extension_pkg
5179 # -- Loading package core_pkg
5180 # -- Loading package mem_pkg
5181 # -- Loading package extension_7seg_pkg
5182 # -- Compiling architecture behav of extension_7seg
5183 # -- Loading entity extension_7seg
5184 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5185 # -- Loading package standard
5186 # -- Loading package std_logic_1164
5187 # -- Loading package std_logic_arith
5188 # -- Loading package std_logic_unsigned
5189 # -- Loading package numeric_std
5190 # -- Loading package common_pkg
5191 # -- Loading package extension_pkg
5192 # -- Loading package core_pkg
5193 # -- Loading package extension_uart_pkg
5194 # -- Compiling entity rs232_tx
5195 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5196 # -- Loading package standard
5197 # -- Loading package std_logic_1164
5198 # -- Loading package numeric_std
5199 # -- Loading package common_pkg
5200 # -- Loading package extension_pkg
5201 # -- Loading package core_pkg
5202 # -- Loading package extension_uart_pkg
5203 # -- Compiling architecture beh of rs232_tx
5204 # -- Loading package std_logic_arith
5205 # -- Loading package std_logic_unsigned
5206 # -- Loading entity rs232_tx
5207 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5208 # -- Loading package standard
5209 # -- Loading package std_logic_1164
5210 # -- Loading package std_logic_arith
5211 # -- Loading package std_logic_unsigned
5212 # -- Loading package numeric_std
5213 # -- Loading package common_pkg
5214 # -- Loading package extension_pkg
5215 # -- Loading package core_pkg
5216 # -- Loading package extension_uart_pkg
5217 # -- Compiling entity rs232_rx
5218 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5219 # -- Loading package standard
5220 # -- Loading package std_logic_1164
5221 # -- Loading package numeric_std
5222 # -- Loading package common_pkg
5223 # -- Loading package extension_pkg
5224 # -- Loading package core_pkg
5225 # -- Loading package extension_uart_pkg
5226 # -- Compiling architecture beh of rs232_rx
5227 # -- Loading package std_logic_arith
5228 # -- Loading package std_logic_unsigned
5229 # -- Loading entity rs232_rx
5230 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5231 # -- Loading package standard
5232 # -- Loading package std_logic_1164
5233 # -- Loading package numeric_std
5234 # -- Loading package common_pkg
5235 # -- Loading package extension_pkg
5236 # -- Loading package core_pkg
5237 # -- Compiling entity decoder
5238 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5239 # -- Loading package standard
5240 # -- Loading package std_logic_1164
5241 # -- Loading package numeric_std
5242 # -- Loading package mem_pkg
5243 # -- Loading package common_pkg
5244 # -- Loading package extension_pkg
5245 # -- Loading package core_pkg
5246 # -- Compiling architecture behav_d of decoder
5247 # -- Loading entity decoder
5248 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5249 # -- Loading package standard
5250 # -- Loading package std_logic_1164
5251 # -- Loading package numeric_std
5252 # -- Loading package common_pkg
5253 # -- Loading package extension_pkg
5254 # -- Loading package core_pkg
5255 # -- Compiling entity fetch_stage
5256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5257 # -- Loading package standard
5258 # -- Loading package std_logic_1164
5259 # -- Loading package numeric_std
5260 # -- Loading package common_pkg
5261 # -- Loading package extension_pkg
5262 # -- Loading package core_pkg
5263 # -- Loading package mem_pkg
5264 # -- Compiling architecture behav of fetch_stage
5265 # -- Loading entity fetch_stage
5266 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5267 # -- Loading package standard
5268 # -- Loading package std_logic_1164
5269 # -- Loading package numeric_std
5270 # -- Loading package common_pkg
5271 # -- Loading package extension_pkg
5272 # -- Loading package core_pkg
5273 # -- Compiling entity decode_stage
5274 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5275 # -- Loading package standard
5276 # -- Loading package std_logic_1164
5277 # -- Loading package numeric_std
5278 # -- Loading package mem_pkg
5279 # -- Loading package common_pkg
5280 # -- Loading package extension_pkg
5281 # -- Loading package core_pkg
5282 # -- Compiling architecture behav of decode_stage
5283 # -- Loading entity decode_stage
5284 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5285 # -- Loading package standard
5286 # -- Loading package std_logic_1164
5287 # -- Loading package numeric_std
5288 # -- Loading package common_pkg
5289 # -- Loading package extension_pkg
5290 # -- Compiling package alu_pkg
5291 # -- Compiling package body alu_pkg
5292 # -- Loading package alu_pkg
5293 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5294 # -- Loading package standard
5295 # -- Loading package std_logic_1164
5296 # -- Loading package numeric_std
5297 # -- Loading package common_pkg
5298 # -- Compiling package extension_pkg
5299 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5300 # -- Loading package standard
5301 # -- Loading package std_logic_1164
5302 # -- Loading package numeric_std
5303 # -- Loading package common_pkg
5304 # -- Loading package extension_pkg
5305 # -- Loading package alu_pkg
5306 # -- Compiling entity exec_op
5307 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5308 # -- Loading package standard
5309 # -- Loading package std_logic_1164
5310 # -- Loading package numeric_std
5311 # -- Loading package common_pkg
5312 # -- Loading package extension_pkg
5313 # -- Loading package alu_pkg
5314 # -- Compiling architecture add_op of exec_op
5315 # -- Loading entity exec_op
5316 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5317 # -- Loading package standard
5318 # -- Loading package std_logic_1164
5319 # -- Loading package numeric_std
5320 # -- Loading package common_pkg
5321 # -- Loading package extension_pkg
5322 # -- Loading package alu_pkg
5323 # -- Compiling architecture and_op of exec_op
5324 # -- Loading entity exec_op
5325 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5326 # -- Loading package standard
5327 # -- Loading package std_logic_1164
5328 # -- Loading package numeric_std
5329 # -- Loading package common_pkg
5330 # -- Loading package extension_pkg
5331 # -- Loading package alu_pkg
5332 # -- Compiling architecture or_op of exec_op
5333 # -- Loading entity exec_op
5334 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5335 # -- Loading package standard
5336 # -- Loading package std_logic_1164
5337 # -- Loading package numeric_std
5338 # -- Loading package common_pkg
5339 # -- Loading package extension_pkg
5340 # -- Loading package alu_pkg
5341 # -- Compiling architecture xor_op of exec_op
5342 # -- Loading entity exec_op
5343 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5344 # -- Loading package standard
5345 # -- Loading package std_logic_1164
5346 # -- Loading package numeric_std
5347 # -- Loading package common_pkg
5348 # -- Loading package extension_pkg
5349 # -- Loading package alu_pkg
5350 # -- Compiling architecture shift_op of exec_op
5351 # -- Loading entity exec_op
5352 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5353 # -- Loading package standard
5354 # -- Loading package std_logic_1164
5355 # -- Loading package numeric_std
5356 # -- Loading package common_pkg
5357 # -- Loading package extension_pkg
5358 # -- Loading package alu_pkg
5359 # -- Compiling entity alu
5360 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5361 # -- Loading package standard
5362 # -- Loading package std_logic_1164
5363 # -- Loading package numeric_std
5364 # -- Loading package common_pkg
5365 # -- Loading package extension_pkg
5366 # -- Loading package alu_pkg
5367 # -- Compiling architecture behaviour of alu
5368 # -- Loading entity alu
5369 # -- Loading entity exec_op
5370 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5371 # -- Loading package standard
5372 # -- Loading package std_logic_1164
5373 # -- Loading package numeric_std
5374 # -- Loading package common_pkg
5375 # -- Compiling package extension_pkg
5376 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5377 # -- Loading package standard
5378 # -- Loading package std_logic_1164
5379 # -- Loading package numeric_std
5380 # -- Loading package common_pkg
5381 # -- Loading package extension_pkg
5382 # -- Compiling entity extension_gpm
5383 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5384 # -- Loading package standard
5385 # -- Loading package std_logic_1164
5386 # -- Loading package numeric_std
5387 # -- Loading package common_pkg
5388 # -- Loading package extension_pkg
5389 # -- Loading package core_pkg
5390 # -- Loading package mem_pkg
5391 # -- Compiling architecture behav of extension_gpm
5392 # -- Loading entity extension_gpm
5393 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5394 # -- Loading package standard
5395 # -- Loading package std_logic_1164
5396 # -- Loading package numeric_std
5397 # -- Loading package common_pkg
5398 # -- Loading package extension_pkg
5399 # -- Loading package alu_pkg
5400 # -- Compiling entity execute_stage
5401 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5402 # -- Loading package standard
5403 # -- Loading package std_logic_1164
5404 # -- Loading package numeric_std
5405 # -- Loading package common_pkg
5406 # -- Loading package extension_pkg
5407 # -- Loading package alu_pkg
5408 # -- Compiling architecture behav of execute_stage
5409 # -- Loading entity execute_stage
5410 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5411 # -- Loading package standard
5412 # -- Loading package std_logic_1164
5413 # -- Loading package numeric_std
5414 # -- Loading package common_pkg
5415 # -- Compiling entity writeback_stage
5416 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5417 # -- Loading package standard
5418 # -- Loading package std_logic_1164
5419 # -- Loading package numeric_std
5420 # -- Loading package common_pkg
5421 # -- Loading package extension_pkg
5422 # -- Loading package core_pkg
5423 # -- Loading package mem_pkg
5424 # -- Loading package extension_uart_pkg
5425 # -- Loading package extension_7seg_pkg
5426 # -- Compiling architecture behav of writeback_stage
5427 # -- Loading entity writeback_stage
5428 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
5429 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
5430 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
5431 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
5432 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5433 # -- Loading package standard
5434 # -- Loading package std_logic_1164
5435 # -- Loading package numeric_std
5436 # -- Loading package common_pkg
5437 # -- Loading package extension_pkg
5438 # -- Loading package core_pkg
5439 # -- Compiling entity pipeline_tb
5440 # -- Compiling architecture behavior of pipeline_tb
5441 # -- Compiling configuration pipeline_conf_beh
5442 # -- Loading entity pipeline_tb
5443 # -- Loading architecture behavior of pipeline_tb
5444 # -- Loading entity fetch_stage
5445 # -- Loading entity decode_stage
5446 # -- Loading package alu_pkg
5447 # -- Loading entity execute_stage
5448 # -- Loading entity writeback_stage
5449 # vsim -t ns work.pipeline_conf_beh 
5450 # Loading std.standard
5451 # Loading ieee.std_logic_1164(body)
5452 # Loading ieee.numeric_std(body)
5453 # Loading work.common_pkg(body)
5454 # Loading work.extension_pkg
5455 # Loading work.core_pkg
5456 # Loading work.alu_pkg(body)
5457 # Loading work.pipeline_conf_beh
5458 # Loading work.pipeline_tb(behavior)
5459 # Loading work.mem_pkg
5460 # Loading work.fetch_stage(behav)
5461 # Loading work.rom(behaviour)
5462 # Loading work.decode_stage(behav)
5463 # Loading work.r2_w_ram(behaviour)
5464 # Loading work.decoder(behav_d)
5465 # Loading work.execute_stage(behav)
5466 # Loading work.alu(behaviour)
5467 # Loading work.exec_op(add_op)
5468 # Loading work.exec_op(and_op)
5469 # Loading work.exec_op(or_op)
5470 # Loading work.exec_op(xor_op)
5471 # Loading work.exec_op(shift_op)
5472 # Loading work.extension_gpm(behav)
5473 # Loading work.extension_uart_pkg
5474 # Loading work.extension_7seg_pkg(body)
5475 # Loading work.writeback_stage(behav)
5476 # Loading work.r_w_ram(behaviour)
5477 # Loading work.extension_uart(behav)
5478 # Loading ieee.std_logic_arith(body)
5479 # Loading ieee.std_logic_unsigned(body)
5480 # Loading work.rs232_tx(beh)
5481 # Loading work.rs232_rx(beh)
5482 # Loading work.extension_7seg(behav)
5483 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5484 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
5485 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5486 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5487 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5488 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5489 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5490 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5491 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5492 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5493 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5494 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5495 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5496 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
5497 do testcore.do
5498 # ** Warning: (vlib-34) Library already exists at "work".
5499 # Modifying modelsim.ini
5500 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5501 # -- Loading package standard
5502 # -- Loading package std_logic_1164
5503 # -- Loading package numeric_std
5504 # -- Compiling package mem_pkg
5505 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5506 # -- Loading package standard
5507 # -- Loading package std_logic_1164
5508 # -- Loading package numeric_std
5509 # -- Compiling entity r_w_ram
5510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5511 # -- Loading package standard
5512 # -- Loading package std_logic_1164
5513 # -- Loading package numeric_std
5514 # -- Loading package mem_pkg
5515 # -- Compiling architecture behaviour of r_w_ram
5516 # -- Loading entity r_w_ram
5517 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5518 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5519 # -- Loading package standard
5520 # -- Loading package std_logic_1164
5521 # -- Loading package numeric_std
5522 # -- Loading package mem_pkg
5523 # -- Compiling entity r2_w_ram
5524 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5525 # -- Loading package standard
5526 # -- Loading package std_logic_1164
5527 # -- Loading package numeric_std
5528 # -- Loading package mem_pkg
5529 # -- Compiling architecture behaviour of r2_w_ram
5530 # -- Loading entity r2_w_ram
5531 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5532 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5533 # -- Loading package standard
5534 # -- Loading package std_logic_1164
5535 # -- Loading package numeric_std
5536 # -- Compiling entity rom
5537 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5538 # -- Loading package standard
5539 # -- Loading package std_logic_1164
5540 # -- Loading package numeric_std
5541 # -- Loading package mem_pkg
5542 # -- Compiling architecture behaviour of rom
5543 # -- Loading entity rom
5544 # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5545 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5546 # -- Loading package standard
5547 # -- Loading package std_logic_1164
5548 # -- Loading package numeric_std
5549 # -- Compiling package common_pkg
5550 # -- Compiling package body common_pkg
5551 # -- Loading package common_pkg
5552 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5553 # -- Loading package standard
5554 # -- Loading package std_logic_1164
5555 # -- Loading package numeric_std
5556 # -- Loading package common_pkg
5557 # -- Loading package extension_pkg
5558 # -- Compiling package core_pkg
5559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5560 # -- Loading package standard
5561 # -- Loading package std_logic_1164
5562 # -- Loading package numeric_std
5563 # -- Loading package common_pkg
5564 # -- Compiling package extension_pkg
5565 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5566 # -- Loading package standard
5567 # -- Loading package std_logic_1164
5568 # -- Loading package numeric_std
5569 # -- Loading package common_pkg
5570 # -- Loading package extension_pkg
5571 # -- Compiling package extension_uart_pkg
5572 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5573 # -- Loading package standard
5574 # -- Loading package std_logic_1164
5575 # -- Loading package numeric_std
5576 # -- Loading package common_pkg
5577 # -- Loading package extension_pkg
5578 # -- Loading package extension_uart_pkg
5579 # -- Compiling entity extension_uart
5580 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5581 # -- Loading package standard
5582 # -- Loading package std_logic_1164
5583 # -- Loading package numeric_std
5584 # -- Loading package common_pkg
5585 # -- Loading package extension_pkg
5586 # -- Loading package core_pkg
5587 # -- Loading package mem_pkg
5588 # -- Loading package extension_uart_pkg
5589 # -- Compiling architecture behav of extension_uart
5590 # -- Loading entity extension_uart
5591 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5592 # -- Loading package standard
5593 # -- Loading package std_logic_1164
5594 # -- Loading package numeric_std
5595 # -- Loading package common_pkg
5596 # -- Loading package extension_pkg
5597 # -- Compiling package extension_7seg_pkg
5598 # -- Compiling package body extension_7seg_pkg
5599 # -- Loading package extension_7seg_pkg
5600 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5601 # -- Loading package standard
5602 # -- Loading package std_logic_1164
5603 # -- Loading package numeric_std
5604 # -- Loading package common_pkg
5605 # -- Loading package extension_pkg
5606 # -- Loading package extension_7seg_pkg
5607 # -- Compiling entity extension_7seg
5608 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5609 # -- Loading package standard
5610 # -- Loading package std_logic_1164
5611 # -- Loading package numeric_std
5612 # -- Loading package common_pkg
5613 # -- Loading package extension_pkg
5614 # -- Loading package core_pkg
5615 # -- Loading package mem_pkg
5616 # -- Loading package extension_7seg_pkg
5617 # -- Compiling architecture behav of extension_7seg
5618 # -- Loading entity extension_7seg
5619 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5620 # -- Loading package standard
5621 # -- Loading package std_logic_1164
5622 # -- Loading package std_logic_arith
5623 # -- Loading package std_logic_unsigned
5624 # -- Loading package numeric_std
5625 # -- Loading package common_pkg
5626 # -- Loading package extension_pkg
5627 # -- Loading package core_pkg
5628 # -- Loading package extension_uart_pkg
5629 # -- Compiling entity rs232_tx
5630 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5631 # -- Loading package standard
5632 # -- Loading package std_logic_1164
5633 # -- Loading package numeric_std
5634 # -- Loading package common_pkg
5635 # -- Loading package extension_pkg
5636 # -- Loading package core_pkg
5637 # -- Loading package extension_uart_pkg
5638 # -- Compiling architecture beh of rs232_tx
5639 # -- Loading package std_logic_arith
5640 # -- Loading package std_logic_unsigned
5641 # -- Loading entity rs232_tx
5642 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5643 # -- Loading package standard
5644 # -- Loading package std_logic_1164
5645 # -- Loading package std_logic_arith
5646 # -- Loading package std_logic_unsigned
5647 # -- Loading package numeric_std
5648 # -- Loading package common_pkg
5649 # -- Loading package extension_pkg
5650 # -- Loading package core_pkg
5651 # -- Loading package extension_uart_pkg
5652 # -- Compiling entity rs232_rx
5653 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5654 # -- Loading package standard
5655 # -- Loading package std_logic_1164
5656 # -- Loading package numeric_std
5657 # -- Loading package common_pkg
5658 # -- Loading package extension_pkg
5659 # -- Loading package core_pkg
5660 # -- Loading package extension_uart_pkg
5661 # -- Compiling architecture beh of rs232_rx
5662 # -- Loading package std_logic_arith
5663 # -- Loading package std_logic_unsigned
5664 # -- Loading entity rs232_rx
5665 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5666 # -- Loading package standard
5667 # -- Loading package std_logic_1164
5668 # -- Loading package numeric_std
5669 # -- Loading package common_pkg
5670 # -- Loading package extension_pkg
5671 # -- Loading package core_pkg
5672 # -- Compiling entity decoder
5673 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5674 # -- Loading package standard
5675 # -- Loading package std_logic_1164
5676 # -- Loading package numeric_std
5677 # -- Loading package mem_pkg
5678 # -- Loading package common_pkg
5679 # -- Loading package extension_pkg
5680 # -- Loading package core_pkg
5681 # -- Compiling architecture behav_d of decoder
5682 # -- Loading entity decoder
5683 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5684 # -- Loading package standard
5685 # -- Loading package std_logic_1164
5686 # -- Loading package numeric_std
5687 # -- Loading package common_pkg
5688 # -- Loading package extension_pkg
5689 # -- Loading package core_pkg
5690 # -- Compiling entity fetch_stage
5691 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5692 # -- Loading package standard
5693 # -- Loading package std_logic_1164
5694 # -- Loading package numeric_std
5695 # -- Loading package common_pkg
5696 # -- Loading package extension_pkg
5697 # -- Loading package core_pkg
5698 # -- Loading package mem_pkg
5699 # -- Compiling architecture behav of fetch_stage
5700 # -- Loading entity fetch_stage
5701 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5702 # -- Loading package standard
5703 # -- Loading package std_logic_1164
5704 # -- Loading package numeric_std
5705 # -- Loading package common_pkg
5706 # -- Loading package extension_pkg
5707 # -- Loading package core_pkg
5708 # -- Compiling entity decode_stage
5709 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5710 # -- Loading package standard
5711 # -- Loading package std_logic_1164
5712 # -- Loading package numeric_std
5713 # -- Loading package mem_pkg
5714 # -- Loading package common_pkg
5715 # -- Loading package extension_pkg
5716 # -- Loading package core_pkg
5717 # -- Compiling architecture behav of decode_stage
5718 # -- Loading entity decode_stage
5719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5720 # -- Loading package standard
5721 # -- Loading package std_logic_1164
5722 # -- Loading package numeric_std
5723 # -- Loading package common_pkg
5724 # -- Loading package extension_pkg
5725 # -- Compiling package alu_pkg
5726 # -- Compiling package body alu_pkg
5727 # -- Loading package alu_pkg
5728 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5729 # -- Loading package standard
5730 # -- Loading package std_logic_1164
5731 # -- Loading package numeric_std
5732 # -- Loading package common_pkg
5733 # -- Compiling package extension_pkg
5734 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5735 # -- Loading package standard
5736 # -- Loading package std_logic_1164
5737 # -- Loading package numeric_std
5738 # -- Loading package common_pkg
5739 # -- Loading package extension_pkg
5740 # -- Loading package alu_pkg
5741 # -- Compiling entity exec_op
5742 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5743 # -- Loading package standard
5744 # -- Loading package std_logic_1164
5745 # -- Loading package numeric_std
5746 # -- Loading package common_pkg
5747 # -- Loading package extension_pkg
5748 # -- Loading package alu_pkg
5749 # -- Compiling architecture add_op of exec_op
5750 # -- Loading entity exec_op
5751 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5752 # -- Loading package standard
5753 # -- Loading package std_logic_1164
5754 # -- Loading package numeric_std
5755 # -- Loading package common_pkg
5756 # -- Loading package extension_pkg
5757 # -- Loading package alu_pkg
5758 # -- Compiling architecture and_op of exec_op
5759 # -- Loading entity exec_op
5760 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5761 # -- Loading package standard
5762 # -- Loading package std_logic_1164
5763 # -- Loading package numeric_std
5764 # -- Loading package common_pkg
5765 # -- Loading package extension_pkg
5766 # -- Loading package alu_pkg
5767 # -- Compiling architecture or_op of exec_op
5768 # -- Loading entity exec_op
5769 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5770 # -- Loading package standard
5771 # -- Loading package std_logic_1164
5772 # -- Loading package numeric_std
5773 # -- Loading package common_pkg
5774 # -- Loading package extension_pkg
5775 # -- Loading package alu_pkg
5776 # -- Compiling architecture xor_op of exec_op
5777 # -- Loading entity exec_op
5778 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5779 # -- Loading package standard
5780 # -- Loading package std_logic_1164
5781 # -- Loading package numeric_std
5782 # -- Loading package common_pkg
5783 # -- Loading package extension_pkg
5784 # -- Loading package alu_pkg
5785 # -- Compiling architecture shift_op of exec_op
5786 # -- Loading entity exec_op
5787 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5788 # -- Loading package standard
5789 # -- Loading package std_logic_1164
5790 # -- Loading package numeric_std
5791 # -- Loading package common_pkg
5792 # -- Loading package extension_pkg
5793 # -- Loading package alu_pkg
5794 # -- Compiling entity alu
5795 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5796 # -- Loading package standard
5797 # -- Loading package std_logic_1164
5798 # -- Loading package numeric_std
5799 # -- Loading package common_pkg
5800 # -- Loading package extension_pkg
5801 # -- Loading package alu_pkg
5802 # -- Compiling architecture behaviour of alu
5803 # -- Loading entity alu
5804 # -- Loading entity exec_op
5805 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5806 # -- Loading package standard
5807 # -- Loading package std_logic_1164
5808 # -- Loading package numeric_std
5809 # -- Loading package common_pkg
5810 # -- Compiling package extension_pkg
5811 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5812 # -- Loading package standard
5813 # -- Loading package std_logic_1164
5814 # -- Loading package numeric_std
5815 # -- Loading package common_pkg
5816 # -- Loading package extension_pkg
5817 # -- Compiling entity extension_gpm
5818 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5819 # -- Loading package standard
5820 # -- Loading package std_logic_1164
5821 # -- Loading package numeric_std
5822 # -- Loading package common_pkg
5823 # -- Loading package extension_pkg
5824 # -- Loading package core_pkg
5825 # -- Loading package mem_pkg
5826 # -- Compiling architecture behav of extension_gpm
5827 # -- Loading entity extension_gpm
5828 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5829 # -- Loading package standard
5830 # -- Loading package std_logic_1164
5831 # -- Loading package numeric_std
5832 # -- Loading package common_pkg
5833 # -- Loading package extension_pkg
5834 # -- Loading package alu_pkg
5835 # -- Compiling entity execute_stage
5836 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5837 # -- Loading package standard
5838 # -- Loading package std_logic_1164
5839 # -- Loading package numeric_std
5840 # -- Loading package common_pkg
5841 # -- Loading package extension_pkg
5842 # -- Loading package alu_pkg
5843 # -- Compiling architecture behav of execute_stage
5844 # -- Loading entity execute_stage
5845 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5846 # -- Loading package standard
5847 # -- Loading package std_logic_1164
5848 # -- Loading package numeric_std
5849 # -- Loading package common_pkg
5850 # -- Compiling entity writeback_stage
5851 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5852 # -- Loading package standard
5853 # -- Loading package std_logic_1164
5854 # -- Loading package numeric_std
5855 # -- Loading package common_pkg
5856 # -- Loading package extension_pkg
5857 # -- Loading package core_pkg
5858 # -- Loading package mem_pkg
5859 # -- Loading package extension_uart_pkg
5860 # -- Loading package extension_7seg_pkg
5861 # -- Compiling architecture behav of writeback_stage
5862 # -- Loading entity writeback_stage
5863 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
5864 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
5865 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
5866 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
5867 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5868 # -- Loading package standard
5869 # -- Loading package std_logic_1164
5870 # -- Loading package numeric_std
5871 # -- Loading package common_pkg
5872 # -- Loading package extension_pkg
5873 # -- Loading package core_pkg
5874 # -- Compiling entity pipeline_tb
5875 # -- Compiling architecture behavior of pipeline_tb
5876 # -- Compiling configuration pipeline_conf_beh
5877 # -- Loading entity pipeline_tb
5878 # -- Loading architecture behavior of pipeline_tb
5879 # -- Loading entity fetch_stage
5880 # -- Loading entity decode_stage
5881 # -- Loading package alu_pkg
5882 # -- Loading entity execute_stage
5883 # -- Loading entity writeback_stage
5884 # vsim -t ns work.pipeline_conf_beh 
5885 # Loading std.standard
5886 # Loading ieee.std_logic_1164(body)
5887 # Loading ieee.numeric_std(body)
5888 # Loading work.common_pkg(body)
5889 # Loading work.extension_pkg
5890 # Loading work.core_pkg
5891 # Loading work.alu_pkg(body)
5892 # Loading work.pipeline_conf_beh
5893 # Loading work.pipeline_tb(behavior)
5894 # Loading work.mem_pkg
5895 # Loading work.fetch_stage(behav)
5896 # Loading work.rom(behaviour)
5897 # Loading work.decode_stage(behav)
5898 # Loading work.r2_w_ram(behaviour)
5899 # Loading work.decoder(behav_d)
5900 # Loading work.execute_stage(behav)
5901 # Loading work.alu(behaviour)
5902 # Loading work.exec_op(add_op)
5903 # Loading work.exec_op(and_op)
5904 # Loading work.exec_op(or_op)
5905 # Loading work.exec_op(xor_op)
5906 # Loading work.exec_op(shift_op)
5907 # Loading work.extension_gpm(behav)
5908 # Loading work.extension_uart_pkg
5909 # Loading work.extension_7seg_pkg(body)
5910 # Loading work.writeback_stage(behav)
5911 # Loading work.r_w_ram(behaviour)
5912 # Loading work.extension_uart(behav)
5913 # Loading ieee.std_logic_arith(body)
5914 # Loading ieee.std_logic_unsigned(body)
5915 # Loading work.rs232_tx(beh)
5916 # Loading work.rs232_rx(beh)
5917 # Loading work.extension_7seg(behav)
5918 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5919 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
5920 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5921 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5922 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5923 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5924 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5925 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5926 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5927 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5928 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5929 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5930 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5931 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
5932 do testcore.do
5933 # ** Warning: (vlib-34) Library already exists at "work".
5934 # Modifying modelsim.ini
5935 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5936 # -- Loading package standard
5937 # -- Loading package std_logic_1164
5938 # -- Loading package numeric_std
5939 # -- Compiling package mem_pkg
5940 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5941 # -- Loading package standard
5942 # -- Loading package std_logic_1164
5943 # -- Loading package numeric_std
5944 # -- Compiling entity r_w_ram
5945 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5946 # -- Loading package standard
5947 # -- Loading package std_logic_1164
5948 # -- Loading package numeric_std
5949 # -- Loading package mem_pkg
5950 # -- Compiling architecture behaviour of r_w_ram
5951 # -- Loading entity r_w_ram
5952 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5953 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5954 # -- Loading package standard
5955 # -- Loading package std_logic_1164
5956 # -- Loading package numeric_std
5957 # -- Loading package mem_pkg
5958 # -- Compiling entity r2_w_ram
5959 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5960 # -- Loading package standard
5961 # -- Loading package std_logic_1164
5962 # -- Loading package numeric_std
5963 # -- Loading package mem_pkg
5964 # -- Compiling architecture behaviour of r2_w_ram
5965 # -- Loading entity r2_w_ram
5966 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5967 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5968 # -- Loading package standard
5969 # -- Loading package std_logic_1164
5970 # -- Loading package numeric_std
5971 # -- Compiling entity rom
5972 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5973 # -- Loading package standard
5974 # -- Loading package std_logic_1164
5975 # -- Loading package numeric_std
5976 # -- Loading package mem_pkg
5977 # -- Compiling architecture behaviour of rom
5978 # -- Loading entity rom
5979 # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
5980 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5981 # -- Loading package standard
5982 # -- Loading package std_logic_1164
5983 # -- Loading package numeric_std
5984 # -- Compiling package common_pkg
5985 # -- Compiling package body common_pkg
5986 # -- Loading package common_pkg
5987 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5988 # -- Loading package standard
5989 # -- Loading package std_logic_1164
5990 # -- Loading package numeric_std
5991 # -- Loading package common_pkg
5992 # -- Loading package extension_pkg
5993 # -- Compiling package core_pkg
5994 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5995 # -- Loading package standard
5996 # -- Loading package std_logic_1164
5997 # -- Loading package numeric_std
5998 # -- Loading package common_pkg
5999 # -- Compiling package extension_pkg
6000 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6001 # -- Loading package standard
6002 # -- Loading package std_logic_1164
6003 # -- Loading package numeric_std
6004 # -- Loading package common_pkg
6005 # -- Loading package extension_pkg
6006 # -- Compiling package extension_uart_pkg
6007 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6008 # -- Loading package standard
6009 # -- Loading package std_logic_1164
6010 # -- Loading package numeric_std
6011 # -- Loading package common_pkg
6012 # -- Loading package extension_pkg
6013 # -- Loading package extension_uart_pkg
6014 # -- Compiling entity extension_uart
6015 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6016 # -- Loading package standard
6017 # -- Loading package std_logic_1164
6018 # -- Loading package numeric_std
6019 # -- Loading package common_pkg
6020 # -- Loading package extension_pkg
6021 # -- Loading package core_pkg
6022 # -- Loading package mem_pkg
6023 # -- Loading package extension_uart_pkg
6024 # -- Compiling architecture behav of extension_uart
6025 # -- Loading entity extension_uart
6026 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6027 # -- Loading package standard
6028 # -- Loading package std_logic_1164
6029 # -- Loading package numeric_std
6030 # -- Loading package common_pkg
6031 # -- Loading package extension_pkg
6032 # -- Compiling package extension_7seg_pkg
6033 # -- Compiling package body extension_7seg_pkg
6034 # -- Loading package extension_7seg_pkg
6035 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6036 # -- Loading package standard
6037 # -- Loading package std_logic_1164
6038 # -- Loading package numeric_std
6039 # -- Loading package common_pkg
6040 # -- Loading package extension_pkg
6041 # -- Loading package extension_7seg_pkg
6042 # -- Compiling entity extension_7seg
6043 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6044 # -- Loading package standard
6045 # -- Loading package std_logic_1164
6046 # -- Loading package numeric_std
6047 # -- Loading package common_pkg
6048 # -- Loading package extension_pkg
6049 # -- Loading package core_pkg
6050 # -- Loading package mem_pkg
6051 # -- Loading package extension_7seg_pkg
6052 # -- Compiling architecture behav of extension_7seg
6053 # -- Loading entity extension_7seg
6054 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6055 # -- Loading package standard
6056 # -- Loading package std_logic_1164
6057 # -- Loading package std_logic_arith
6058 # -- Loading package std_logic_unsigned
6059 # -- Loading package numeric_std
6060 # -- Loading package common_pkg
6061 # -- Loading package extension_pkg
6062 # -- Loading package core_pkg
6063 # -- Loading package extension_uart_pkg
6064 # -- Compiling entity rs232_tx
6065 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6066 # -- Loading package standard
6067 # -- Loading package std_logic_1164
6068 # -- Loading package numeric_std
6069 # -- Loading package common_pkg
6070 # -- Loading package extension_pkg
6071 # -- Loading package core_pkg
6072 # -- Loading package extension_uart_pkg
6073 # -- Compiling architecture beh of rs232_tx
6074 # -- Loading package std_logic_arith
6075 # -- Loading package std_logic_unsigned
6076 # -- Loading entity rs232_tx
6077 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6078 # -- Loading package standard
6079 # -- Loading package std_logic_1164
6080 # -- Loading package std_logic_arith
6081 # -- Loading package std_logic_unsigned
6082 # -- Loading package numeric_std
6083 # -- Loading package common_pkg
6084 # -- Loading package extension_pkg
6085 # -- Loading package core_pkg
6086 # -- Loading package extension_uart_pkg
6087 # -- Compiling entity rs232_rx
6088 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6089 # -- Loading package standard
6090 # -- Loading package std_logic_1164
6091 # -- Loading package numeric_std
6092 # -- Loading package common_pkg
6093 # -- Loading package extension_pkg
6094 # -- Loading package core_pkg
6095 # -- Loading package extension_uart_pkg
6096 # -- Compiling architecture beh of rs232_rx
6097 # -- Loading package std_logic_arith
6098 # -- Loading package std_logic_unsigned
6099 # -- Loading entity rs232_rx
6100 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6101 # -- Loading package standard
6102 # -- Loading package std_logic_1164
6103 # -- Loading package numeric_std
6104 # -- Loading package common_pkg
6105 # -- Loading package extension_pkg
6106 # -- Loading package core_pkg
6107 # -- Compiling entity decoder
6108 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6109 # -- Loading package standard
6110 # -- Loading package std_logic_1164
6111 # -- Loading package numeric_std
6112 # -- Loading package mem_pkg
6113 # -- Loading package common_pkg
6114 # -- Loading package extension_pkg
6115 # -- Loading package core_pkg
6116 # -- Compiling architecture behav_d of decoder
6117 # -- Loading entity decoder
6118 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6119 # -- Loading package standard
6120 # -- Loading package std_logic_1164
6121 # -- Loading package numeric_std
6122 # -- Loading package common_pkg
6123 # -- Loading package extension_pkg
6124 # -- Loading package core_pkg
6125 # -- Compiling entity fetch_stage
6126 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6127 # -- Loading package standard
6128 # -- Loading package std_logic_1164
6129 # -- Loading package numeric_std
6130 # -- Loading package common_pkg
6131 # -- Loading package extension_pkg
6132 # -- Loading package core_pkg
6133 # -- Loading package mem_pkg
6134 # -- Compiling architecture behav of fetch_stage
6135 # -- Loading entity fetch_stage
6136 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6137 # -- Loading package standard
6138 # -- Loading package std_logic_1164
6139 # -- Loading package numeric_std
6140 # -- Loading package common_pkg
6141 # -- Loading package extension_pkg
6142 # -- Loading package core_pkg
6143 # -- Compiling entity decode_stage
6144 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6145 # -- Loading package standard
6146 # -- Loading package std_logic_1164
6147 # -- Loading package numeric_std
6148 # -- Loading package mem_pkg
6149 # -- Loading package common_pkg
6150 # -- Loading package extension_pkg
6151 # -- Loading package core_pkg
6152 # -- Compiling architecture behav of decode_stage
6153 # -- Loading entity decode_stage
6154 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6155 # -- Loading package standard
6156 # -- Loading package std_logic_1164
6157 # -- Loading package numeric_std
6158 # -- Loading package common_pkg
6159 # -- Loading package extension_pkg
6160 # -- Compiling package alu_pkg
6161 # -- Compiling package body alu_pkg
6162 # -- Loading package alu_pkg
6163 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6164 # -- Loading package standard
6165 # -- Loading package std_logic_1164
6166 # -- Loading package numeric_std
6167 # -- Loading package common_pkg
6168 # -- Compiling package extension_pkg
6169 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6170 # -- Loading package standard
6171 # -- Loading package std_logic_1164
6172 # -- Loading package numeric_std
6173 # -- Loading package common_pkg
6174 # -- Loading package extension_pkg
6175 # -- Loading package alu_pkg
6176 # -- Compiling entity exec_op
6177 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6178 # -- Loading package standard
6179 # -- Loading package std_logic_1164
6180 # -- Loading package numeric_std
6181 # -- Loading package common_pkg
6182 # -- Loading package extension_pkg
6183 # -- Loading package alu_pkg
6184 # -- Compiling architecture add_op of exec_op
6185 # -- Loading entity exec_op
6186 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6187 # -- Loading package standard
6188 # -- Loading package std_logic_1164
6189 # -- Loading package numeric_std
6190 # -- Loading package common_pkg
6191 # -- Loading package extension_pkg
6192 # -- Loading package alu_pkg
6193 # -- Compiling architecture and_op of exec_op
6194 # -- Loading entity exec_op
6195 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6196 # -- Loading package standard
6197 # -- Loading package std_logic_1164
6198 # -- Loading package numeric_std
6199 # -- Loading package common_pkg
6200 # -- Loading package extension_pkg
6201 # -- Loading package alu_pkg
6202 # -- Compiling architecture or_op of exec_op
6203 # -- Loading entity exec_op
6204 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6205 # -- Loading package standard
6206 # -- Loading package std_logic_1164
6207 # -- Loading package numeric_std
6208 # -- Loading package common_pkg
6209 # -- Loading package extension_pkg
6210 # -- Loading package alu_pkg
6211 # -- Compiling architecture xor_op of exec_op
6212 # -- Loading entity exec_op
6213 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6214 # -- Loading package standard
6215 # -- Loading package std_logic_1164
6216 # -- Loading package numeric_std
6217 # -- Loading package common_pkg
6218 # -- Loading package extension_pkg
6219 # -- Loading package alu_pkg
6220 # -- Compiling architecture shift_op of exec_op
6221 # -- Loading entity exec_op
6222 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6223 # -- Loading package standard
6224 # -- Loading package std_logic_1164
6225 # -- Loading package numeric_std
6226 # -- Loading package common_pkg
6227 # -- Loading package extension_pkg
6228 # -- Loading package alu_pkg
6229 # -- Compiling entity alu
6230 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6231 # -- Loading package standard
6232 # -- Loading package std_logic_1164
6233 # -- Loading package numeric_std
6234 # -- Loading package common_pkg
6235 # -- Loading package extension_pkg
6236 # -- Loading package alu_pkg
6237 # -- Compiling architecture behaviour of alu
6238 # -- Loading entity alu
6239 # -- Loading entity exec_op
6240 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6241 # -- Loading package standard
6242 # -- Loading package std_logic_1164
6243 # -- Loading package numeric_std
6244 # -- Loading package common_pkg
6245 # -- Compiling package extension_pkg
6246 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6247 # -- Loading package standard
6248 # -- Loading package std_logic_1164
6249 # -- Loading package numeric_std
6250 # -- Loading package common_pkg
6251 # -- Loading package extension_pkg
6252 # -- Compiling entity extension_gpm
6253 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6254 # -- Loading package standard
6255 # -- Loading package std_logic_1164
6256 # -- Loading package numeric_std
6257 # -- Loading package common_pkg
6258 # -- Loading package extension_pkg
6259 # -- Loading package core_pkg
6260 # -- Loading package mem_pkg
6261 # -- Compiling architecture behav of extension_gpm
6262 # -- Loading entity extension_gpm
6263 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6264 # -- Loading package standard
6265 # -- Loading package std_logic_1164
6266 # -- Loading package numeric_std
6267 # -- Loading package common_pkg
6268 # -- Loading package extension_pkg
6269 # -- Loading package alu_pkg
6270 # -- Compiling entity execute_stage
6271 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6272 # -- Loading package standard
6273 # -- Loading package std_logic_1164
6274 # -- Loading package numeric_std
6275 # -- Loading package common_pkg
6276 # -- Loading package extension_pkg
6277 # -- Loading package alu_pkg
6278 # -- Compiling architecture behav of execute_stage
6279 # -- Loading entity execute_stage
6280 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6281 # -- Loading package standard
6282 # -- Loading package std_logic_1164
6283 # -- Loading package numeric_std
6284 # -- Loading package common_pkg
6285 # -- Compiling entity writeback_stage
6286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6287 # -- Loading package standard
6288 # -- Loading package std_logic_1164
6289 # -- Loading package numeric_std
6290 # -- Loading package common_pkg
6291 # -- Loading package extension_pkg
6292 # -- Loading package core_pkg
6293 # -- Loading package mem_pkg
6294 # -- Loading package extension_uart_pkg
6295 # -- Loading package extension_7seg_pkg
6296 # -- Compiling architecture behav of writeback_stage
6297 # -- Loading entity writeback_stage
6298 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
6299 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
6300 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
6301 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
6302 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6303 # -- Loading package standard
6304 # -- Loading package std_logic_1164
6305 # -- Loading package numeric_std
6306 # -- Loading package common_pkg
6307 # -- Loading package extension_pkg
6308 # -- Loading package core_pkg
6309 # -- Compiling entity pipeline_tb
6310 # -- Compiling architecture behavior of pipeline_tb
6311 # -- Compiling configuration pipeline_conf_beh
6312 # -- Loading entity pipeline_tb
6313 # -- Loading architecture behavior of pipeline_tb
6314 # -- Loading entity fetch_stage
6315 # -- Loading entity decode_stage
6316 # -- Loading package alu_pkg
6317 # -- Loading entity execute_stage
6318 # -- Loading entity writeback_stage
6319 # vsim -t ns work.pipeline_conf_beh 
6320 # Loading std.standard
6321 # Loading ieee.std_logic_1164(body)
6322 # Loading ieee.numeric_std(body)
6323 # Loading work.common_pkg(body)
6324 # Loading work.extension_pkg
6325 # Loading work.core_pkg
6326 # Loading work.alu_pkg(body)
6327 # Loading work.pipeline_conf_beh
6328 # Loading work.pipeline_tb(behavior)
6329 # Loading work.mem_pkg
6330 # Loading work.fetch_stage(behav)
6331 # Loading work.rom(behaviour)
6332 # Loading work.decode_stage(behav)
6333 # Loading work.r2_w_ram(behaviour)
6334 # Loading work.decoder(behav_d)
6335 # Loading work.execute_stage(behav)
6336 # Loading work.alu(behaviour)
6337 # Loading work.exec_op(add_op)
6338 # Loading work.exec_op(and_op)
6339 # Loading work.exec_op(or_op)
6340 # Loading work.exec_op(xor_op)
6341 # Loading work.exec_op(shift_op)
6342 # Loading work.extension_gpm(behav)
6343 # Loading work.extension_uart_pkg
6344 # Loading work.extension_7seg_pkg(body)
6345 # Loading work.writeback_stage(behav)
6346 # Loading work.r_w_ram(behaviour)
6347 # Loading work.extension_uart(behav)
6348 # Loading ieee.std_logic_arith(body)
6349 # Loading ieee.std_logic_unsigned(body)
6350 # Loading work.rs232_tx(beh)
6351 # Loading work.rs232_rx(beh)
6352 # Loading work.extension_7seg(behav)
6353 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6354 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
6355 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6356 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6357 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6358 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6359 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6360 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6361 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6362 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6363 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6364 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6365 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6366 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
6367 do testcore.do
6368 # ** Warning: (vlib-34) Library already exists at "work".
6369 # Modifying modelsim.ini
6370 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6371 # -- Loading package standard
6372 # -- Loading package std_logic_1164
6373 # -- Loading package numeric_std
6374 # -- Compiling package mem_pkg
6375 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6376 # -- Loading package standard
6377 # -- Loading package std_logic_1164
6378 # -- Loading package numeric_std
6379 # -- Compiling entity r_w_ram
6380 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6381 # -- Loading package standard
6382 # -- Loading package std_logic_1164
6383 # -- Loading package numeric_std
6384 # -- Loading package mem_pkg
6385 # -- Compiling architecture behaviour of r_w_ram
6386 # -- Loading entity r_w_ram
6387 # ** Warning: ../src/r_w_ram_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
6388 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6389 # -- Loading package standard
6390 # -- Loading package std_logic_1164
6391 # -- Loading package numeric_std
6392 # -- Loading package mem_pkg
6393 # -- Compiling entity r2_w_ram
6394 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6395 # -- Loading package standard
6396 # -- Loading package std_logic_1164
6397 # -- Loading package numeric_std
6398 # -- Loading package mem_pkg
6399 # -- Compiling architecture behaviour of r2_w_ram
6400 # -- Loading entity r2_w_ram
6401 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
6402 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6403 # -- Loading package standard
6404 # -- Loading package std_logic_1164
6405 # -- Loading package numeric_std
6406 # -- Compiling entity rom
6407 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6408 # -- Loading package standard
6409 # -- Loading package std_logic_1164
6410 # -- Loading package numeric_std
6411 # -- Loading package mem_pkg
6412 # -- Compiling architecture behaviour of rom
6413 # -- Loading entity rom
6414 # ** Warning: ../src/rom_b.vhd(120): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
6415 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6416 # -- Loading package standard
6417 # -- Loading package std_logic_1164
6418 # -- Loading package numeric_std
6419 # -- Compiling package common_pkg
6420 # -- Compiling package body common_pkg
6421 # -- Loading package common_pkg
6422 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6423 # -- Loading package standard
6424 # -- Loading package std_logic_1164
6425 # -- Loading package numeric_std
6426 # -- Loading package common_pkg
6427 # -- Loading package extension_pkg
6428 # -- Compiling package core_pkg
6429 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6430 # -- Loading package standard
6431 # -- Loading package std_logic_1164
6432 # -- Loading package numeric_std
6433 # -- Loading package common_pkg
6434 # -- Compiling package extension_pkg
6435 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6436 # -- Loading package standard
6437 # -- Loading package std_logic_1164
6438 # -- Loading package numeric_std
6439 # -- Loading package common_pkg
6440 # -- Loading package extension_pkg
6441 # -- Compiling package extension_uart_pkg
6442 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6443 # -- Loading package standard
6444 # -- Loading package std_logic_1164
6445 # -- Loading package numeric_std
6446 # -- Loading package common_pkg
6447 # -- Loading package extension_pkg
6448 # -- Loading package extension_uart_pkg
6449 # -- Compiling entity extension_uart
6450 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6451 # -- Loading package standard
6452 # -- Loading package std_logic_1164
6453 # -- Loading package numeric_std
6454 # -- Loading package common_pkg
6455 # -- Loading package extension_pkg
6456 # -- Loading package core_pkg
6457 # -- Loading package mem_pkg
6458 # -- Loading package extension_uart_pkg
6459 # -- Compiling architecture behav of extension_uart
6460 # -- Loading entity extension_uart
6461 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6462 # -- Loading package standard
6463 # -- Loading package std_logic_1164
6464 # -- Loading package numeric_std
6465 # -- Loading package common_pkg
6466 # -- Loading package extension_pkg
6467 # -- Compiling package extension_7seg_pkg
6468 # -- Compiling package body extension_7seg_pkg
6469 # -- Loading package extension_7seg_pkg
6470 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6471 # -- Loading package standard
6472 # -- Loading package std_logic_1164
6473 # -- Loading package numeric_std
6474 # -- Loading package common_pkg
6475 # -- Loading package extension_pkg
6476 # -- Loading package extension_7seg_pkg
6477 # -- Compiling entity extension_7seg
6478 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6479 # -- Loading package standard
6480 # -- Loading package std_logic_1164
6481 # -- Loading package numeric_std
6482 # -- Loading package common_pkg
6483 # -- Loading package extension_pkg
6484 # -- Loading package core_pkg
6485 # -- Loading package mem_pkg
6486 # -- Loading package extension_7seg_pkg
6487 # -- Compiling architecture behav of extension_7seg
6488 # -- Loading entity extension_7seg
6489 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6490 # -- Loading package standard
6491 # -- Loading package std_logic_1164
6492 # -- Loading package std_logic_arith
6493 # -- Loading package std_logic_unsigned
6494 # -- Loading package numeric_std
6495 # -- Loading package common_pkg
6496 # -- Loading package extension_pkg
6497 # -- Loading package core_pkg
6498 # -- Loading package extension_uart_pkg
6499 # -- Compiling entity rs232_tx
6500 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6501 # -- Loading package standard
6502 # -- Loading package std_logic_1164
6503 # -- Loading package numeric_std
6504 # -- Loading package common_pkg
6505 # -- Loading package extension_pkg
6506 # -- Loading package core_pkg
6507 # -- Loading package extension_uart_pkg
6508 # -- Compiling architecture beh of rs232_tx
6509 # -- Loading package std_logic_arith
6510 # -- Loading package std_logic_unsigned
6511 # -- Loading entity rs232_tx
6512 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6513 # -- Loading package standard
6514 # -- Loading package std_logic_1164
6515 # -- Loading package std_logic_arith
6516 # -- Loading package std_logic_unsigned
6517 # -- Loading package numeric_std
6518 # -- Loading package common_pkg
6519 # -- Loading package extension_pkg
6520 # -- Loading package core_pkg
6521 # -- Loading package extension_uart_pkg
6522 # -- Compiling entity rs232_rx
6523 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6524 # -- Loading package standard
6525 # -- Loading package std_logic_1164
6526 # -- Loading package numeric_std
6527 # -- Loading package common_pkg
6528 # -- Loading package extension_pkg
6529 # -- Loading package core_pkg
6530 # -- Loading package extension_uart_pkg
6531 # -- Compiling architecture beh of rs232_rx
6532 # -- Loading package std_logic_arith
6533 # -- Loading package std_logic_unsigned
6534 # -- Loading entity rs232_rx
6535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6536 # -- Loading package standard
6537 # -- Loading package std_logic_1164
6538 # -- Loading package numeric_std
6539 # -- Loading package common_pkg
6540 # -- Loading package extension_pkg
6541 # -- Loading package core_pkg
6542 # -- Compiling entity decoder
6543 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6544 # -- Loading package standard
6545 # -- Loading package std_logic_1164
6546 # -- Loading package numeric_std
6547 # -- Loading package mem_pkg
6548 # -- Loading package common_pkg
6549 # -- Loading package extension_pkg
6550 # -- Loading package core_pkg
6551 # -- Compiling architecture behav_d of decoder
6552 # -- Loading entity decoder
6553 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6554 # -- Loading package standard
6555 # -- Loading package std_logic_1164
6556 # -- Loading package numeric_std
6557 # -- Loading package common_pkg
6558 # -- Loading package extension_pkg
6559 # -- Loading package core_pkg
6560 # -- Compiling entity fetch_stage
6561 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6562 # -- Loading package standard
6563 # -- Loading package std_logic_1164
6564 # -- Loading package numeric_std
6565 # -- Loading package common_pkg
6566 # -- Loading package extension_pkg
6567 # -- Loading package core_pkg
6568 # -- Loading package mem_pkg
6569 # -- Compiling architecture behav of fetch_stage
6570 # -- Loading entity fetch_stage
6571 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6572 # -- Loading package standard
6573 # -- Loading package std_logic_1164
6574 # -- Loading package numeric_std
6575 # -- Loading package common_pkg
6576 # -- Loading package extension_pkg
6577 # -- Loading package core_pkg
6578 # -- Compiling entity decode_stage
6579 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6580 # -- Loading package standard
6581 # -- Loading package std_logic_1164
6582 # -- Loading package numeric_std
6583 # -- Loading package mem_pkg
6584 # -- Loading package common_pkg
6585 # -- Loading package extension_pkg
6586 # -- Loading package core_pkg
6587 # -- Compiling architecture behav of decode_stage
6588 # -- Loading entity decode_stage
6589 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6590 # -- Loading package standard
6591 # -- Loading package std_logic_1164
6592 # -- Loading package numeric_std
6593 # -- Loading package common_pkg
6594 # -- Loading package extension_pkg
6595 # -- Compiling package alu_pkg
6596 # -- Compiling package body alu_pkg
6597 # -- Loading package alu_pkg
6598 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6599 # -- Loading package standard
6600 # -- Loading package std_logic_1164
6601 # -- Loading package numeric_std
6602 # -- Loading package common_pkg
6603 # -- Compiling package extension_pkg
6604 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6605 # -- Loading package standard
6606 # -- Loading package std_logic_1164
6607 # -- Loading package numeric_std
6608 # -- Loading package common_pkg
6609 # -- Loading package extension_pkg
6610 # -- Loading package alu_pkg
6611 # -- Compiling entity exec_op
6612 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6613 # -- Loading package standard
6614 # -- Loading package std_logic_1164
6615 # -- Loading package numeric_std
6616 # -- Loading package common_pkg
6617 # -- Loading package extension_pkg
6618 # -- Loading package alu_pkg
6619 # -- Compiling architecture add_op of exec_op
6620 # -- Loading entity exec_op
6621 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6622 # -- Loading package standard
6623 # -- Loading package std_logic_1164
6624 # -- Loading package numeric_std
6625 # -- Loading package common_pkg
6626 # -- Loading package extension_pkg
6627 # -- Loading package alu_pkg
6628 # -- Compiling architecture and_op of exec_op
6629 # -- Loading entity exec_op
6630 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6631 # -- Loading package standard
6632 # -- Loading package std_logic_1164
6633 # -- Loading package numeric_std
6634 # -- Loading package common_pkg
6635 # -- Loading package extension_pkg
6636 # -- Loading package alu_pkg
6637 # -- Compiling architecture or_op of exec_op
6638 # -- Loading entity exec_op
6639 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6640 # -- Loading package standard
6641 # -- Loading package std_logic_1164
6642 # -- Loading package numeric_std
6643 # -- Loading package common_pkg
6644 # -- Loading package extension_pkg
6645 # -- Loading package alu_pkg
6646 # -- Compiling architecture xor_op of exec_op
6647 # -- Loading entity exec_op
6648 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6649 # -- Loading package standard
6650 # -- Loading package std_logic_1164
6651 # -- Loading package numeric_std
6652 # -- Loading package common_pkg
6653 # -- Loading package extension_pkg
6654 # -- Loading package alu_pkg
6655 # -- Compiling architecture shift_op of exec_op
6656 # -- Loading entity exec_op
6657 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6658 # -- Loading package standard
6659 # -- Loading package std_logic_1164
6660 # -- Loading package numeric_std
6661 # -- Loading package common_pkg
6662 # -- Loading package extension_pkg
6663 # -- Loading package alu_pkg
6664 # -- Compiling entity alu
6665 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6666 # -- Loading package standard
6667 # -- Loading package std_logic_1164
6668 # -- Loading package numeric_std
6669 # -- Loading package common_pkg
6670 # -- Loading package extension_pkg
6671 # -- Loading package alu_pkg
6672 # -- Compiling architecture behaviour of alu
6673 # -- Loading entity alu
6674 # -- Loading entity exec_op
6675 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6676 # -- Loading package standard
6677 # -- Loading package std_logic_1164
6678 # -- Loading package numeric_std
6679 # -- Loading package common_pkg
6680 # -- Compiling package extension_pkg
6681 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6682 # -- Loading package standard
6683 # -- Loading package std_logic_1164
6684 # -- Loading package numeric_std
6685 # -- Loading package common_pkg
6686 # -- Loading package extension_pkg
6687 # -- Compiling entity extension_gpm
6688 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6689 # -- Loading package standard
6690 # -- Loading package std_logic_1164
6691 # -- Loading package numeric_std
6692 # -- Loading package common_pkg
6693 # -- Loading package extension_pkg
6694 # -- Loading package core_pkg
6695 # -- Loading package mem_pkg
6696 # -- Compiling architecture behav of extension_gpm
6697 # -- Loading entity extension_gpm
6698 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6699 # -- Loading package standard
6700 # -- Loading package std_logic_1164
6701 # -- Loading package numeric_std
6702 # -- Loading package common_pkg
6703 # -- Loading package extension_pkg
6704 # -- Loading package alu_pkg
6705 # -- Compiling entity execute_stage
6706 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6707 # -- Loading package standard
6708 # -- Loading package std_logic_1164
6709 # -- Loading package numeric_std
6710 # -- Loading package common_pkg
6711 # -- Loading package extension_pkg
6712 # -- Loading package alu_pkg
6713 # -- Compiling architecture behav of execute_stage
6714 # -- Loading entity execute_stage
6715 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6716 # -- Loading package standard
6717 # -- Loading package std_logic_1164
6718 # -- Loading package numeric_std
6719 # -- Loading package common_pkg
6720 # -- Compiling entity writeback_stage
6721 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6722 # -- Loading package standard
6723 # -- Loading package std_logic_1164
6724 # -- Loading package numeric_std
6725 # -- Loading package common_pkg
6726 # -- Loading package extension_pkg
6727 # -- Loading package core_pkg
6728 # -- Loading package mem_pkg
6729 # -- Loading package extension_uart_pkg
6730 # -- Loading package extension_7seg_pkg
6731 # -- Compiling architecture behav of writeback_stage
6732 # -- Loading entity writeback_stage
6733 # ** Warning: ../src/writeback_stage_b.vhd(206): Case choice must be a locally static expression.
6734 # ** Warning: ../src/writeback_stage_b.vhd(220): Case choice must be a locally static expression.
6735 # ** Warning: ../src/writeback_stage_b.vhd(233): Case choice must be a locally static expression.
6736 # ** Warning: ../src/writeback_stage_b.vhd(245): Case choice must be a locally static expression.
6737 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
6738 # -- Loading package standard
6739 # -- Loading package std_logic_1164
6740 # -- Loading package numeric_std
6741 # -- Loading package common_pkg
6742 # -- Loading package extension_pkg
6743 # -- Loading package core_pkg
6744 # -- Compiling entity pipeline_tb
6745 # -- Compiling architecture behavior of pipeline_tb
6746 # -- Compiling configuration pipeline_conf_beh
6747 # -- Loading entity pipeline_tb
6748 # -- Loading architecture behavior of pipeline_tb
6749 # -- Loading entity fetch_stage
6750 # -- Loading entity decode_stage
6751 # -- Loading package alu_pkg
6752 # -- Loading entity execute_stage
6753 # -- Loading entity writeback_stage
6754 # vsim -t ns work.pipeline_conf_beh 
6755 # Loading std.standard
6756 # Loading ieee.std_logic_1164(body)
6757 # Loading ieee.numeric_std(body)
6758 # Loading work.common_pkg(body)
6759 # Loading work.extension_pkg
6760 # Loading work.core_pkg
6761 # Loading work.alu_pkg(body)
6762 # Loading work.pipeline_conf_beh
6763 # Loading work.pipeline_tb(behavior)
6764 # Loading work.mem_pkg
6765 # Loading work.fetch_stage(behav)
6766 # Loading work.rom(behaviour)
6767 # Loading work.decode_stage(behav)
6768 # Loading work.r2_w_ram(behaviour)
6769 # Loading work.decoder(behav_d)
6770 # Loading work.execute_stage(behav)
6771 # Loading work.alu(behaviour)
6772 # Loading work.exec_op(add_op)
6773 # Loading work.exec_op(and_op)
6774 # Loading work.exec_op(or_op)
6775 # Loading work.exec_op(xor_op)
6776 # Loading work.exec_op(shift_op)
6777 # Loading work.extension_gpm(behav)
6778 # Loading work.extension_uart_pkg
6779 # Loading work.extension_7seg_pkg(body)
6780 # Loading work.writeback_stage(behav)
6781 # Loading work.r_w_ram(behaviour)
6782 # Loading work.extension_uart(behav)
6783 # Loading ieee.std_logic_arith(body)
6784 # Loading ieee.std_logic_unsigned(body)
6785 # Loading work.rs232_tx(beh)
6786 # Loading work.rs232_rx(beh)
6787 # Loading work.extension_7seg(behav)
6788 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6789 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
6790 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6791 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6792 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6793 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6794 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6795 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6796 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6797 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6798 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6799 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
6800 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
6801 #    Time: 30 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram