2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
9 entity beh_display_tb is
10 end entity beh_display_tb;
12 architecture sim of beh_display_tb is
14 signal sys_clk, sys_res_n : std_logic;
16 signal free : std_logic;
17 signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
18 signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
20 signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
21 signal d_zeile : hzeile;
22 signal d_spalte : hspalte;
23 signal d_get, d_done : std_logic;
24 signal d_char : hbyte;
26 signal s_char : hbyte;
27 signal s_take, s_done, s_backspace : std_logic;
29 signal stop : boolean := false;
32 inst : entity work.display(beh)
35 sys_res_n => sys_res_n,
37 d_new_eingabe => d_new_eingabe,
38 d_new_result => d_new_result,
47 command_data => command_data,
74 variable input : hstring := "123513 ";
75 variable ctmp : character;
77 variable checkall : boolean := true;
78 variable i : integer := 1;
94 wait on d_get; -- = '1';
98 ctmp := input(to_integer(unsigned(d_spalte)));
99 d_char <= hbyte(to_unsigned(character'pos(ctmp),8));
102 wait on d_get; -- = '0';
115 report "alle testfaelle des Displays waren erfolgreich!";
117 report "nicht alle testfaelle des Displays waren erfolgreich!";
123 end architecture sim;