spartan3e: mapping fuer pc-komm
[hwmod.git] / src / calc_s3e.vhd
index 040034ad3ec94bd49496f72f503241d0cd7d12b4..e1bfe689fb774ca9f809b9b4495aa4b9279148c0 100644 (file)
@@ -12,8 +12,8 @@ entity calc is
        port (
                CLK_50MHZ : in std_logic;
                sys_res : in std_logic;
-               -- btnA
-               -- TODO: pins
+               -- btnA (here: "btn west")
+               btn_a : in std_logic;
                -- rs232
                rxd : in std_logic;
                txd : out std_logic;
@@ -57,6 +57,13 @@ architecture top of calc is
        signal p_wdone : std_logic;
        signal p_write : hbyte;
        signal p_finished : std_logic;
+       --history/pc_com
+       signal pc_get : std_logic;
+       signal pc_spalte : hspalte;
+       signal pc_zeile : hzeile;
+       signal pc_char : hbyte;
+       signal pc_done : std_logic;
+       signal pc_busy : std_logic;
        -- parser/scanner
        signal do_it, finished : std_logic;
        -- rs232
@@ -142,7 +149,14 @@ begin
                p_wtake => p_wtake,
                p_wdone => p_wdone,
                p_write => p_write,
-               p_finished => p_finished
+               p_finished => p_finished,
+               -- pc communication
+               pc_get =>  pc_get,
+               pc_spalte => pc_spalte,
+               pc_zeile => pc_zeile,
+               pc_char => pc_char,
+               pc_busy => pc_busy,
+               pc_done => pc_done
        );
 
        -- parser
@@ -238,4 +252,27 @@ begin
                tx_new => tx_new,
                tx_done => tx_done
        );
+
+       -- pc-com
+       pc_com_inst : entity work.pc_communication(beh)
+       port map (
+               sys_clk => CLK_50MHZ,
+               sys_res_n => sys_res_n,
+               --button
+               btn_a => not btn_a,
+               --uart_tx
+               tx_data => tx_data,
+               tx_new => tx_new,
+               tx_done => tx_done,
+               --uart_rx
+               rx_data => rx_data,
+               rx_new => rx_new,
+               -- History
+               pc_zeile => pc_zeile,
+               pc_spalte => pc_spalte,
+               pc_get => pc_get,
+               pc_busy => pc_busy,
+               pc_done => pc_done,
+               pc_char => pc_char
+       );
 end architecture top;