uart_tx: jaja, 0x42 (= b"0100 0010", 'B') ist kein gutes zeichen zum UART testen ;)
[hwmod.git] / src / beh_uart_tx_tb.vhd
index 58fb2ab02d73aa9e35f4e6429775aa3ccbc019f3..3f75682e8b952fb00f49ff797a20b7339ae8f0a5 100644 (file)
@@ -83,14 +83,14 @@ begin
                icwait(sys_clk, 2);
 
                -- 1. parameter: testfallnummer
-               -- 2. parameter: STARTBIT (1 bit) - immer '0' | 8 DATENBITS | 1 STOPBIT - immer '1'
+               -- 2. parameter: STARTBIT (1 bit) - immer '0' | 8 DATENBITS (reversed) | 1 STOPBIT - immer '1'
                -- 3. parameter: byte das gesendet werden soll
-               exec_tc(1, b"0000011111", b"00001111");
-               exec_tc(2, b"0101010101", b"10101010");
-               exec_tc(3, b"0110011001", b"11001100");
-               exec_tc(4, b"0001100111", b"00110011");
-               exec_tc(5, b"0010101011", b"01010101");
-               exec_tc(6, b"0100110111", b"10011011");
+               exec_tc(1, b"0000011111", b"11110000");
+               exec_tc(2, b"0101010101", b"01010101");
+               exec_tc(3, b"0110011001", b"00110011");
+               exec_tc(4, b"0001100111", b"11001100");
+               exec_tc(5, b"0010101011", b"10101010");
+               exec_tc(6, b"0100110111", b"11011001");
 
                stop <= true;
                wait;