icwait(sys_clk, 2);
-- 1. parameter: testfallnummer
- -- 2. parameter: STARTBIT (1 bit) - immer '0' | 8 DATENBITS | 1 STOPBIT - immer '1'
+ -- 2. parameter: STARTBIT (1 bit) - immer '0' | 8 DATENBITS (reversed) | 1 STOPBIT - immer '1'
-- 3. parameter: byte das gesendet werden soll
- exec_tc(1, b"0000011111", b"00001111");
- exec_tc(2, b"0101010101", b"10101010");
- exec_tc(3, b"0110011001", b"11001100");
- exec_tc(4, b"0001100111", b"00110011");
- exec_tc(5, b"0010101011", b"01010101");
- exec_tc(6, b"0100110111", b"10011011");
+ exec_tc(1, b"0000011111", b"11110000");
+ exec_tc(2, b"0101010101", b"01010101");
+ exec_tc(3, b"0110011001", b"00110011");
+ exec_tc(4, b"0001100111", b"11001100");
+ exec_tc(5, b"0010101011", b"10101010");
+ exec_tc(6, b"0100110111", b"11011001");
stop <= true;
wait;
signal txd_next, txd_int : std_logic;
signal tx_done_next, tx_done_int : std_logic;
- signal tx_to_send : std_logic_vector(0 to 10);
+ signal tx_to_send : std_logic_vector(10 downto 0);
signal bitcnt_int, bitcnt_next : integer range 0 to 11;
signal baudcnt_int, baudcnt_next : integer range 0 to BAUD;
begin
tx_done_int <= tx_done_next;
bitcnt_int <= bitcnt_next;
baudcnt_int <= baudcnt_next;
- -- HIGHBIT (1) | STARTBIT (1) | DATA (8) | STOPBIT (1)
- tx_to_send <= '1' & '0' & tx_data & '1';
+ -- STOPBIT (1) | DATA (8) | STARTBIT (1) | HIGHBIT (1)
+ tx_to_send <= '1' & tx_data & '0' & '1';
end if;
end process;