alu: postsim geht jetzt zwar, trotzdem haufweise warnings...
[hwmod.git] / src / alu.vhd
index 15230498e147d9351fbbb35d70623bbbfe84e648..caff4958e91f011f31ee3def3a854fe3ad9f6db9 100644 (file)
@@ -22,11 +22,11 @@ architecture beh of alu is
        type ALU_STATE is (SIDLE, SADD, SSUB, SMUL, SDIV, SDIV_CALC, SDIV_DONE, SDONE);
        signal state_int, state_next : ALU_STATE;
        signal done_intern, div_calc_done, div_go_calc : std_logic;
-       signal op3_int, op3_next : csigned;
+       signal op3_int, op3_next : csigned := (others => '0');
        signal calc_done_int, calc_done_next : std_logic;
        -- signale fuer division
        signal dividend_msb_int, dividend_msb_next, laengediv_int, laengediv_next : natural;
-       signal quo_int, quo_next, aktdiv, aktdiv_next, op1_int, op1_next, op2_int, op2_next : csigned;
+       signal quo_int, quo_next, aktdiv_int, aktdiv_int_next, op1_int, op1_next, op2_int, op2_next : csigned;
        signal sign_int, sign_next : std_logic;
 begin
        op3 <= op3_int;
@@ -43,7 +43,7 @@ begin
                        dividend_msb_int <= 0;
                        laengediv_int <= 0;
                        quo_int <= (others => '0');
-                       aktdiv <= (others => '0');
+                       aktdiv_int <= (others => '0');
                        op1_int <= (others => '0');
                        op2_int <= (others => '0');
                        sign_int <= '0';
@@ -55,7 +55,7 @@ begin
                        dividend_msb_int <= dividend_msb_next;
                        laengediv_int <= laengediv_next;
                        quo_int <= quo_next;
-                       aktdiv <= aktdiv_next;
+                       aktdiv_int <= aktdiv_int_next;
                        op1_int <= op1_next;
                        op2_int <= op2_next;
                        sign_int <= sign_next;
@@ -104,14 +104,12 @@ begin
        end process;
 
        -- output
-       process(state_int, op1, op2, dividend_msb_int, laengediv_int, quo_int, aktdiv, sign_int, op1_int, op2_int)
-               variable tmperg : csigned;
+       process(state_int, op1, op2, dividend_msb_int, laengediv_int, quo_int, aktdiv_int, sign_int, op1_int, op2_int, op3_int)
                variable multmp : signed(((2*CBITS)-1) downto 0);
                -- vars fuer div
                variable laengediv_var, dividend_msb_var : natural;
-               variable aktdiv_var, quo_var, op1_var, op2_var : csigned;
+               variable aktdiv_int_var, quo_var, op1_var, op2_var : csigned;
        begin
-               op3_next <= (others => '0');
                calc_done_next <= '0';
                div_calc_done <= '0';
                div_go_calc <= '0';
@@ -120,28 +118,28 @@ begin
                dividend_msb_next <= 0;
                laengediv_next <= 0;
                quo_next <= (others => '0');
-               aktdiv_next <= (others => '0');
+               aktdiv_int_next <= (others => '0');
                op1_next <= (others => '0');
                op2_next <= (others => '0');
                sign_next <= '0';
+               op3_next <= (others => '0');
 
                case state_int is
                        when SIDLE =>
-                               tmperg := (others => '0');
+                               null;
                        when SADD =>
-                               tmperg := op1 + op2;
+                               op3_next <= op1 + op2;
                                done_intern <= '1';
                        when SSUB =>
-                               tmperg := op1 - op2;
+                               op3_next <= op1 - op2;
                                done_intern <= '1';
                        when SMUL =>
                                multmp := op1 * op2;
-                               tmperg(CBITS-1) := multmp((2*CBITS)-1);
-                               tmperg((CBITS-2) downto 0) := multmp((CBITS-2) downto 0);
+                               op3_next(CBITS-1) <= multmp((2*CBITS)-1);
+                               op3_next((CBITS-2) downto 0) <= multmp((CBITS-2) downto 0);
                                done_intern <= '1';
                        when SDIV =>
                                -- division implementiert nach ~hwmod/doc/division.pdf
-                               tmperg := (others => '0');
                                if op2 = to_signed(0,CBITS) then
                                        -- TODO: err out signal
                                        done_intern <= '1';
@@ -159,7 +157,7 @@ begin
                                        dividend_msb_var := find_msb(op1_var)-1;
                                        laengediv_var := find_msb(op2_var)-1;
 
-                                       aktdiv_next <= op1_var srl (dividend_msb_var - laengediv_var + 1);
+                                       aktdiv_int_next <= op1_var srl (dividend_msb_var - laengediv_var + 1);
 
                                        div_go_calc <= '1';
                                        dividend_msb_next <= dividend_msb_var;
@@ -170,20 +168,18 @@ begin
                                        sign_next <= op1(CBITS-1) xor op2(CBITS-1);
                                end if;
                        when SDIV_CALC =>
-                               tmperg := (others => '0');
-
                                if (dividend_msb_int - laengediv_int + 1) > 0 then
-                                       aktdiv_var := aktdiv sll 1;
-                                       aktdiv_var(0) := op1_int(dividend_msb_int - laengediv_int);
+                                       aktdiv_int_var := aktdiv_int sll 1;
+                                       aktdiv_int_var(0) := op1_int(dividend_msb_int - laengediv_int);
 
                                        quo_var := quo_int sll 1;
-                                       if aktdiv_var >= op2_int then
+                                       if aktdiv_int_var >= op2_int then
                                                quo_var(0) := '1';
-                                               aktdiv_var := aktdiv_var - op2_int;
+                                               aktdiv_int_var := aktdiv_int_var - op2_int;
                                        end if;
 
                                        quo_next <= quo_var;
-                                       aktdiv_next <= aktdiv_var;
+                                       aktdiv_int_next <= aktdiv_int_var;
                                        dividend_msb_next <= dividend_msb_int;
                                        laengediv_next <= laengediv_int + 1;
                                        op1_next <= op1_int;
@@ -198,13 +194,11 @@ begin
                                        div_calc_done <= '1';
                                end if;
                        when SDIV_DONE =>
-                               tmperg := quo_int;
+                               op3_next <= quo_int;
                                done_intern <= '1';
                        when SDONE =>
-                               done_intern <= '1';
                                calc_done_next <= '1';
-                               op3_next <= tmperg;
-                               tmperg := (others => '0');
+                               op3_next <= op3_int;
                end case;
        end process;
 end architecture beh;