one place for all my love
[hwmod.git] / demo / src / demo_top.bdf
diff --git a/demo/src/demo_top.bdf b/demo/src/demo_top.bdf
new file mode 100644 (file)
index 0000000..c2fb83b
--- /dev/null
@@ -0,0 +1,191 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2008 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+*/
+//#pragma file_not_in_maxplusii_format
+(header "graphic" (version "1.3"))
+(pin
+       (input)
+       (rect 416 296 584 312)
+       (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
+       (text "RESET" (rect 5 0 40 12)(font "Arial" ))
+       (pt 168 8)
+       (drawing
+               (line (pt 92 12)(pt 117 12)(line_width 1))
+               (line (pt 92 4)(pt 117 4)(line_width 1))
+               (line (pt 121 8)(pt 168 8)(line_width 1))
+               (line (pt 92 12)(pt 92 4)(line_width 1))
+               (line (pt 117 4)(pt 121 8)(line_width 1))
+               (line (pt 117 12)(pt 121 8)(line_width 1))
+       )
+       (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
+       (annotation_block (location)(rect 368 312 416 328))
+)
+(pin
+       (input)
+       (rect 136 56 304 72)
+       (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
+       (text "CLK" (rect 5 0 26 12)(font "Arial" ))
+       (pt 168 8)
+       (drawing
+               (line (pt 92 12)(pt 117 12)(line_width 1))
+               (line (pt 92 4)(pt 117 4)(line_width 1))
+               (line (pt 121 8)(pt 168 8)(line_width 1))
+               (line (pt 92 12)(pt 92 4)(line_width 1))
+               (line (pt 117 4)(pt 121 8)(line_width 1))
+               (line (pt 117 12)(pt 121 8)(line_width 1))
+       )
+       (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
+       (annotation_block (location)(rect 168 176 216 192))
+)
+(pin
+       (output)
+       (rect 896 144 1072 160)
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
+       (text "LEDS[7..0]" (rect 90 0 144 12)(font "Arial" ))
+       (pt 0 8)
+       (drawing
+               (line (pt 0 8)(pt 52 8)(line_width 1))
+               (line (pt 52 4)(pt 78 4)(line_width 1))
+               (line (pt 52 12)(pt 78 12)(line_width 1))
+               (line (pt 52 12)(pt 52 4)(line_width 1))
+               (line (pt 78 4)(pt 82 8)(line_width 1))
+               (line (pt 82 8)(pt 78 12)(line_width 1))
+               (line (pt 78 12)(pt 82 8)(line_width 1))
+       )
+       (annotation_block (location)(rect 1072 160 1128 272))
+)
+(symbol
+       (rect 696 120 856 216)
+       (text "demo" (rect 5 0 32 12)(font "Arial" ))
+       (text "inst" (rect 8 80 25 92)(font "Arial" ))
+       (port
+               (pt 0 32)
+               (input)
+               (text "clk" (rect 0 0 14 12)(font "Arial" ))
+               (text "clk" (rect 21 27 35 39)(font "Arial" ))
+               (line (pt 0 32)(pt 16 32)(line_width 1))
+       )
+       (port
+               (pt 0 48)
+               (input)
+               (text "reset" (rect 0 0 24 12)(font "Arial" ))
+               (text "reset" (rect 21 43 45 55)(font "Arial" ))
+               (line (pt 0 48)(pt 16 48)(line_width 1))
+       )
+       (port
+               (pt 160 32)
+               (output)
+               (text "leds[7..0]" (rect 0 0 46 12)(font "Arial" ))
+               (text "leds[7..0]" (rect 69 27 115 39)(font "Arial" ))
+               (line (pt 160 32)(pt 144 32)(line_width 3))
+       )
+       (drawing
+               (rectangle (rect 16 16 144 80)(line_width 1))
+       )
+)
+(symbol
+       (rect 352 56 592 216)
+       (text "pll" (rect 114 0 129 16)(font "Arial" (font_size 10)))
+       (text "inst1" (rect 8 144 31 156)(font "Arial" ))
+       (port
+               (pt 0 64)
+               (input)
+               (text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8)))
+               (text "inclk0" (rect 4 51 35 65)(font "Arial" (font_size 8)))
+               (line (pt 0 64)(pt 40 64)(line_width 1))
+       )
+       (port
+               (pt 240 64)
+               (output)
+               (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
+               (text "c0" (rect 225 51 239 65)(font "Arial" (font_size 8)))
+               (line (pt 240 64)(pt 208 64)(line_width 1))
+       )
+       (drawing
+               (text "Cyclone II" (rect 183 145 234 157)(font "Arial" ))
+               (text "inclk0 frequency: 25.000 MHz" (rect 50 59 197 71)(font "Arial" ))
+               (text "Operation Mode: Normal" (rect 50 73 169 85)(font "Arial" ))
+               (text "Clk " (rect 51 96 71 108)(font "Arial" ))
+               (text "Ratio" (rect 73 96 98 108)(font "Arial" ))
+               (text "Ph (dg)" (rect 100 96 135 108)(font "Arial" ))
+               (text "DC (%)" (rect 135 96 171 108)(font "Arial" ))
+               (text "c0" (rect 54 111 65 123)(font "Arial" ))
+               (text "4/1" (rect 78 111 93 123)(font "Arial" ))
+               (text "0.00" (rect 106 111 127 123)(font "Arial" ))
+               (text "50.00" (rect 139 111 166 123)(font "Arial" ))
+               (line (pt 0 0)(pt 241 0)(line_width 1))
+               (line (pt 241 0)(pt 241 161)(line_width 1))
+               (line (pt 0 161)(pt 241 161)(line_width 1))
+               (line (pt 0 0)(pt 0 161)(line_width 1))
+               (line (pt 48 94)(pt 168 94)(line_width 1))
+               (line (pt 48 108)(pt 168 108)(line_width 1))
+               (line (pt 48 123)(pt 168 123)(line_width 1))
+               (line (pt 48 94)(pt 48 123)(line_width 1))
+               (line (pt 70 94)(pt 70 123)(line_width 3))
+               (line (pt 97 94)(pt 97 123)(line_width 3))
+               (line (pt 132 94)(pt 132 123)(line_width 3))
+               (line (pt 167 94)(pt 167 123)(line_width 1))
+               (line (pt 40 48)(pt 208 48)(line_width 1))
+               (line (pt 208 48)(pt 208 144)(line_width 1))
+               (line (pt 40 144)(pt 208 144)(line_width 1))
+               (line (pt 40 48)(pt 40 144)(line_width 1))
+       )
+)
+(connector
+       (pt 304 64)
+       (pt 312 64)
+)
+(connector
+       (pt 312 64)
+       (pt 312 120)
+)
+(connector
+       (pt 312 120)
+       (pt 352 120)
+)
+(connector
+       (pt 592 120)
+       (pt 640 120)
+)
+(connector
+       (pt 640 120)
+       (pt 640 152)
+)
+(connector
+       (pt 640 152)
+       (pt 696 152)
+)
+(connector
+       (pt 856 152)
+       (pt 896 152)
+       (bus)
+)
+(connector
+       (pt 632 168)
+       (pt 696 168)
+)
+(connector
+       (pt 632 304)
+       (pt 584 304)
+)
+(connector
+       (pt 632 168)
+       (pt 632 304)
+)