one place for all my love
[hwmod.git] / demo / quartus / demo_nativelink_simulation.rpt
diff --git a/demo/quartus/demo_nativelink_simulation.rpt b/demo/quartus/demo_nativelink_simulation.rpt
new file mode 100644 (file)
index 0000000..a0eec8a
--- /dev/null
@@ -0,0 +1,708 @@
+Info: Start Nativelink Simulation process
+Info: NativeLink has detected VHDL design -- VHDL simulation models will be used
+
+========= EDA Simulation Settings =====================
+
+Sim Mode              :  RTL
+Family                :  cycloneii
+Quartus root          :  /opt/quartus/linux/
+Quartus sim root      :  /opt/quartus/eda/sim_lib
+Simulation Tool       :  modelsim
+Simulation Language   :  vhdl
+Version               :  93
+Simulation Mode       :  GUI
+Sim Output File       :  demo.vho
+Sim SDF file          :  demo__vhdl.sdo
+Sim dir               :  simulation/modelsim
+
+=======================================================
+
+Info: Starting NativeLink simulation with ModelSim software
+Sourced NativeLink script /opt/quartus/common/tcl/internal/nativelink/modelsim.tcl
+Probing transcript
+ModelSim Info: # //  ModelSim SE-64 6.3 May  4 2007 Linux 2.6.18-92.1.10.el5
+ModelSim Info: # //
+ModelSim Info: # //  Copyright 1991-2007 Mentor Graphics Corporation
+ModelSim Info: # //              All Rights Reserved.
+ModelSim Info: # //
+ModelSim Info: # //  THIS WORK CONTAINS TRADE SECRET AND 
+ModelSim Info: # //  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
+ModelSim Info: # //  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
+ModelSim Info: # //  AND IS SUBJECT TO LICENSE TERMS.
+ModelSim Info: # //
+ModelSim Info: # do demo_run_msim_rtl_vhdl.do 
+ModelSim Info: # if ![file isdirectory vhdl_libs] {
+ModelSim Info: #       file mkdir vhdl_libs
+ModelSim Info: # }
+ModelSim Info: # 
+ModelSim Info: # vlib vhdl_libs/lpm
+ModelSim Info: # vmap lpm vhdl_libs/lpm
+ModelSim Info: # Copying /opt/modelsim/modeltech/linux_x86_64/../modelsim.ini to modelsim.ini
+ModelSim Info: # Modifying modelsim.ini
+ModelSim Warning: # ** Warning: Copied /opt/modelsim/modeltech/linux_x86_64/../modelsim.ini to modelsim.ini.
+ModelSim Info: #          Updated modelsim.ini.
+ModelSim Info: # vcom -work lpm /opt/quartus/eda/sim_lib/220pack.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling package lpm_components
+ModelSim Info: # vcom -work lpm /opt/quartus/eda/sim_lib/220model.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package textio
+ModelSim Info: # -- Compiling package lpm_common_conversion
+ModelSim Info: # -- Compiling package body lpm_common_conversion
+ModelSim Info: # -- Loading package lpm_common_conversion
+ModelSim Info: # -- Compiling package lpm_hint_evaluation
+ModelSim Info: # -- Compiling package body lpm_hint_evaluation
+ModelSim Info: # -- Loading package lpm_hint_evaluation
+ModelSim Info: # -- Compiling package lpm_device_families
+ModelSim Info: # -- Compiling package body lpm_device_families
+ModelSim Info: # -- Loading package lpm_device_families
+ModelSim Info: # -- Loading package std_logic_arith
+ModelSim Info: # -- Loading package std_logic_unsigned
+ModelSim Info: # -- Loading package lpm_components
+ModelSim Info: # -- Compiling entity lpm_constant
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_constant
+ModelSim Info: # -- Compiling entity lpm_inv
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_inv
+ModelSim Info: # -- Compiling entity lpm_and
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_and
+ModelSim Info: # -- Compiling entity lpm_or
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_or
+ModelSim Info: # -- Compiling entity lpm_xor
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_xor
+ModelSim Info: # -- Compiling entity lpm_bustri
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_bustri
+ModelSim Info: # -- Compiling entity lpm_mux
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_mux
+ModelSim Info: # -- Compiling entity lpm_decode
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_decode
+ModelSim Info: # -- Compiling entity lpm_clshift
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_clshift
+ModelSim Info: # -- Loading package std_logic_signed
+ModelSim Info: # -- Compiling entity lpm_add_sub_signed
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub_signed
+ModelSim Info: # -- Compiling entity lpm_add_sub_unsigned
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub_unsigned
+ModelSim Info: # -- Loading entity lpm_add_sub_signed
+ModelSim Info: # -- Loading entity lpm_add_sub_unsigned
+ModelSim Info: # -- Compiling entity lpm_add_sub
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub
+ModelSim Info: # -- Compiling entity lpm_compare_signed
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare_signed
+ModelSim Info: # -- Compiling entity lpm_compare_unsigned
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare_unsigned
+ModelSim Info: # -- Loading entity lpm_compare_signed
+ModelSim Info: # -- Loading entity lpm_compare_unsigned
+ModelSim Info: # -- Compiling entity lpm_compare
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare
+ModelSim Info: # -- Loading package lpm_hint_evaluation
+ModelSim Info: # -- Compiling entity lpm_mult
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_mult
+ModelSim Info: # -- Compiling entity lpm_divide
+ModelSim Info: # -- Compiling architecture behave of lpm_divide
+ModelSim Info: # -- Compiling entity lpm_abs
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_abs
+ModelSim Info: # -- Loading package lpm_common_conversion
+ModelSim Info: # -- Compiling entity lpm_counter
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_counter
+ModelSim Info: # -- Compiling entity lpm_latch
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_latch
+ModelSim Info: # -- Compiling entity lpm_ff
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ff
+ModelSim Info: # -- Compiling entity lpm_shiftreg
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_shiftreg
+ModelSim Info: # -- Loading package lpm_device_families
+ModelSim Info: # -- Compiling entity lpm_ram_dq
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_dq
+ModelSim Info: # -- Compiling entity lpm_ram_dp
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_dp
+ModelSim Info: # -- Compiling entity lpm_ram_io
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_io
+ModelSim Info: # -- Compiling entity lpm_rom
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_rom
+ModelSim Info: # -- Compiling entity lpm_fifo
+ModelSim Info: # -- Compiling architecture behavior of lpm_fifo
+ModelSim Info: # -- Compiling entity lpm_fifo_dc_dffpipe
+ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_dffpipe
+ModelSim Info: # -- Compiling entity lpm_fifo_dc_fefifo
+ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_fefifo
+ModelSim Info: # -- Loading entity lpm_fifo_dc_fefifo
+ModelSim Info: # -- Loading entity lpm_fifo_dc_dffpipe
+ModelSim Info: # -- Compiling entity lpm_fifo_dc_async
+ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_async
+ModelSim Info: # -- Loading entity lpm_fifo_dc_async
+ModelSim Info: # -- Compiling entity lpm_fifo_dc
+ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc
+ModelSim Info: # -- Compiling entity lpm_inpad
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_inpad
+ModelSim Info: # -- Compiling entity lpm_outpad
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_outpad
+ModelSim Info: # -- Compiling entity lpm_bipad
+ModelSim Info: # -- Compiling architecture lpm_syn of lpm_bipad
+ModelSim Info: # 
+ModelSim Info: # vlib vhdl_libs/altera
+ModelSim Info: # vmap altera vhdl_libs/altera
+ModelSim Info: # Modifying modelsim.ini
+ModelSim Info: # vcom -work altera /opt/quartus/eda/sim_lib/altera_primitives_components.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package vital_timing
+ModelSim Info: # -- Loading package vital_primitives
+ModelSim Info: # -- Compiling package dffeas_pack
+ModelSim Info: # -- Loading package dffeas_pack
+ModelSim Info: # -- Compiling package altera_primitives_components
+ModelSim Info: # vcom -work altera /opt/quartus/eda/sim_lib/altera_primitives.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling entity global
+ModelSim Info: # -- Compiling architecture behavior of global
+ModelSim Info: # -- Compiling entity carry
+ModelSim Info: # -- Compiling architecture behavior of carry
+ModelSim Info: # -- Compiling entity cascade
+ModelSim Info: # -- Compiling architecture behavior of cascade
+ModelSim Info: # -- Compiling entity carry_sum
+ModelSim Info: # -- Compiling architecture behavior of carry_sum
+ModelSim Info: # -- Compiling entity exp
+ModelSim Info: # -- Compiling architecture behavior of exp
+ModelSim Info: # -- Compiling entity soft
+ModelSim Info: # -- Compiling architecture behavior of soft
+ModelSim Info: # -- Compiling entity opndrn
+ModelSim Info: # -- Compiling architecture behavior of opndrn
+ModelSim Info: # -- Compiling entity row_global
+ModelSim Info: # -- Compiling architecture behavior of row_global
+ModelSim Info: # -- Compiling entity tri
+ModelSim Info: # -- Compiling architecture behavior of tri
+ModelSim Info: # -- Compiling entity lut_input
+ModelSim Info: # -- Compiling architecture behavior of lut_input
+ModelSim Info: # -- Compiling entity lut_output
+ModelSim Info: # -- Compiling architecture behavior of lut_output
+ModelSim Info: # -- Compiling entity latch
+ModelSim Info: # -- Compiling architecture behavior of latch
+ModelSim Info: # -- Compiling entity prim_gdff
+ModelSim Info: # -- Compiling architecture behavior of prim_gdff
+ModelSim Info: # -- Loading entity prim_gdff
+ModelSim Info: # -- Compiling entity dff
+ModelSim Info: # -- Compiling architecture behavior of dff
+ModelSim Info: # -- Compiling entity dffe
+ModelSim Info: # -- Compiling architecture behavior of dffe
+ModelSim Info: # -- Compiling entity dffea
+ModelSim Info: # -- Compiling architecture behavior of dffea
+ModelSim Info: # -- Loading package vital_timing
+ModelSim Info: # -- Loading package vital_primitives
+ModelSim Info: # -- Loading package dffeas_pack
+ModelSim Info: # -- Compiling entity dffeas
+ModelSim Info: # -- Compiling architecture vital_dffeas of dffeas
+ModelSim Info: # -- Compiling entity prim_gtff
+ModelSim Info: # -- Compiling architecture behavior of prim_gtff
+ModelSim Info: # -- Loading entity prim_gtff
+ModelSim Info: # -- Compiling entity tff
+ModelSim Info: # -- Compiling architecture behavior of tff
+ModelSim Info: # -- Compiling entity tffe
+ModelSim Info: # -- Compiling architecture behavior of tffe
+ModelSim Info: # -- Compiling entity prim_gjkff
+ModelSim Info: # -- Compiling architecture behavior of prim_gjkff
+ModelSim Info: # -- Loading entity prim_gjkff
+ModelSim Info: # -- Compiling entity jkff
+ModelSim Info: # -- Compiling architecture behavior of jkff
+ModelSim Info: # -- Compiling entity jkffe
+ModelSim Info: # -- Compiling architecture behavior of jkffe
+ModelSim Info: # -- Compiling entity prim_gsrff
+ModelSim Info: # -- Compiling architecture behavior of prim_gsrff
+ModelSim Info: # -- Loading entity prim_gsrff
+ModelSim Info: # -- Compiling entity srff
+ModelSim Info: # -- Compiling architecture behavior of srff
+ModelSim Info: # -- Compiling entity srffe
+ModelSim Info: # -- Compiling architecture behavior of srffe
+ModelSim Info: # -- Compiling entity clklock
+ModelSim Info: # -- Compiling architecture behavior of clklock
+ModelSim Info: # -- Compiling entity alt_inbuf
+ModelSim Info: # -- Compiling architecture behavior of alt_inbuf
+ModelSim Info: # -- Compiling entity alt_outbuf
+ModelSim Info: # -- Compiling architecture behavior of alt_outbuf
+ModelSim Info: # -- Compiling entity alt_outbuf_tri
+ModelSim Info: # -- Compiling architecture behavior of alt_outbuf_tri
+ModelSim Info: # -- Compiling entity alt_iobuf
+ModelSim Info: # -- Compiling architecture behavior of alt_iobuf
+ModelSim Info: # -- Compiling entity alt_inbuf_diff
+ModelSim Info: # -- Compiling architecture behavior of alt_inbuf_diff
+ModelSim Info: # -- Compiling entity alt_outbuf_diff
+ModelSim Info: # -- Compiling architecture behavior of alt_outbuf_diff
+ModelSim Info: # -- Compiling entity alt_outbuf_tri_diff
+ModelSim Info: # -- Compiling architecture behavior of alt_outbuf_tri_diff
+ModelSim Info: # -- Compiling entity alt_iobuf_diff
+ModelSim Info: # -- Compiling architecture behavior of alt_iobuf_diff
+ModelSim Info: # -- Compiling entity alt_bidir_diff
+ModelSim Info: # -- Compiling architecture behavior of alt_bidir_diff
+ModelSim Info: # 
+ModelSim Info: # vlib vhdl_libs/altera_mf
+ModelSim Info: # vmap altera_mf vhdl_libs/altera_mf
+ModelSim Info: # Modifying modelsim.ini
+ModelSim Info: # vcom -work altera_mf /opt/quartus/eda/sim_lib/altera_mf_components.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling package altera_mf_components
+ModelSim Info: # vcom -work altera_mf /opt/quartus/eda/sim_lib/altera_mf.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling entity lcell
+ModelSim Info: # -- Compiling architecture behavior of lcell
+ModelSim Info: # -- Loading package textio
+ModelSim Info: # -- Compiling package altera_common_conversion
+ModelSim Info: # -- Compiling package body altera_common_conversion
+ModelSim Info: # -- Loading package altera_common_conversion
+ModelSim Info: # -- Compiling package altera_mf_hint_evaluation
+ModelSim Info: # -- Compiling package body altera_mf_hint_evaluation
+ModelSim Info: # -- Loading package altera_mf_hint_evaluation
+ModelSim Info: # -- Compiling package altera_device_families
+ModelSim Info: # -- Compiling package body altera_device_families
+ModelSim Info: # -- Loading package altera_device_families
+ModelSim Info: # -- Compiling package mf_pllpack
+ModelSim Info: # -- Compiling package body mf_pllpack
+ModelSim Info: # -- Loading package mf_pllpack
+ModelSim Info: # -- Compiling entity dffp
+ModelSim Info: # -- Compiling architecture behave of dffp
+ModelSim Info: # -- Compiling entity mf_m_cntr
+ModelSim Info: # -- Compiling architecture behave of mf_m_cntr
+ModelSim Info: # -- Compiling entity mf_n_cntr
+ModelSim Info: # -- Compiling architecture behave of mf_n_cntr
+ModelSim Info: # -- Compiling entity stx_scale_cntr
+ModelSim Info: # -- Compiling architecture behave of stx_scale_cntr
+ModelSim Info: # -- Compiling entity mf_pll_reg
+ModelSim Info: # -- Compiling architecture behave of mf_pll_reg
+ModelSim Info: # -- Loading package mf_pllpack
+ModelSim Info: # -- Loading entity mf_m_cntr
+ModelSim Info: # -- Loading entity mf_n_cntr
+ModelSim Info: # -- Loading entity stx_scale_cntr
+ModelSim Info: # -- Loading entity dffp
+ModelSim Info: # -- Loading entity mf_pll_reg
+ModelSim Info: # -- Compiling entity mf_stratix_pll
+ModelSim Info: # -- Compiling architecture vital_pll of mf_stratix_pll
+ModelSim Info: # -- Compiling entity arm_m_cntr
+ModelSim Info: # -- Compiling architecture behave of arm_m_cntr
+ModelSim Info: # -- Compiling entity arm_n_cntr
+ModelSim Info: # -- Compiling architecture behave of arm_n_cntr
+ModelSim Info: # -- Compiling entity arm_scale_cntr
+ModelSim Info: # -- Compiling architecture behave of arm_scale_cntr
+ModelSim Info: # -- Loading entity arm_m_cntr
+ModelSim Info: # -- Loading entity arm_n_cntr
+ModelSim Info: # -- Loading entity arm_scale_cntr
+ModelSim Info: # -- Compiling entity mf_stratixii_pll
+ModelSim Info: # -- Compiling architecture vital_pll of mf_stratixii_pll
+ModelSim Info: # -- Loading package std_logic_arith
+ModelSim Info: # -- Loading package std_logic_unsigned
+ModelSim Info: # -- Compiling entity mf_ttn_mn_cntr
+ModelSim Info: # -- Compiling architecture behave of mf_ttn_mn_cntr
+ModelSim Info: # -- Compiling entity mf_ttn_scale_cntr
+ModelSim Info: # -- Compiling architecture behave of mf_ttn_scale_cntr
+ModelSim Info: # -- Loading entity mf_ttn_mn_cntr
+ModelSim Info: # -- Loading entity mf_ttn_scale_cntr
+ModelSim Info: # -- Compiling entity mf_stratixiii_pll
+ModelSim Info: # -- Compiling architecture vital_pll of mf_stratixiii_pll
+ModelSim Info: # -- Compiling entity mf_cda_mn_cntr
+ModelSim Info: # -- Compiling architecture behave of mf_cda_mn_cntr
+ModelSim Info: # -- Compiling entity mf_cda_scale_cntr
+ModelSim Info: # -- Compiling architecture behave of mf_cda_scale_cntr
+ModelSim Info: # -- Loading entity mf_cda_mn_cntr
+ModelSim Info: # -- Loading entity mf_cda_scale_cntr
+ModelSim Info: # -- Compiling entity mf_cycloneiii_pll
+ModelSim Info: # -- Compiling architecture vital_pll of mf_cycloneiii_pll
+ModelSim Info: # -- Loading package altera_device_families
+ModelSim Info: # -- Loading entity mf_stratix_pll
+ModelSim Info: # -- Loading entity mf_stratixii_pll
+ModelSim Info: # -- Loading entity mf_stratixiii_pll
+ModelSim Info: # -- Loading entity mf_cycloneiii_pll
+ModelSim Info: # -- Compiling entity altpll
+ModelSim Info: # -- Compiling architecture behavior of altpll
+ModelSim Info: # -- Compiling entity altaccumulate
+ModelSim Info: # -- Compiling architecture behaviour of altaccumulate
+ModelSim Info: # -- Compiling entity altmult_accum
+ModelSim Info: # -- Compiling architecture behaviour of altmult_accum
+ModelSim Info: # -- Compiling entity altmult_add
+ModelSim Info: # -- Compiling architecture behaviour of altmult_add
+ModelSim Info: # -- Loading package altera_common_conversion
+ModelSim Info: # -- Compiling entity altfp_mult
+ModelSim Info: # -- Compiling architecture behavior of altfp_mult
+ModelSim Info: # -- Compiling entity altsqrt
+ModelSim Info: # -- Compiling architecture behavior of altsqrt
+ModelSim Info: # -- Compiling entity altclklock
+ModelSim Info: # -- Compiling architecture behavior of altclklock
+ModelSim Info: # -- Compiling entity altddio_in
+ModelSim Info: # -- Compiling architecture behave of altddio_in
+ModelSim Info: # -- Compiling entity altddio_out
+ModelSim Info: # -- Compiling architecture behave of altddio_out
+ModelSim Info: # -- Loading entity altddio_in
+ModelSim Info: # -- Loading entity altddio_out
+ModelSim Info: # -- Compiling entity altddio_bidir
+ModelSim Info: # -- Compiling architecture struct of altddio_bidir
+ModelSim Info: # -- Compiling entity hssi_pll
+ModelSim Info: # -- Compiling architecture behavior of hssi_pll
+ModelSim Info: # -- Compiling entity mf_ram7x20_syn
+ModelSim Info: # -- Compiling architecture hssi_ram7x20_syn of mf_ram7x20_syn
+ModelSim Info: # -- Loading entity mf_ram7x20_syn
+ModelSim Info: # -- Compiling entity hssi_fifo
+ModelSim Info: # -- Compiling architecture synchronizer of hssi_fifo
+ModelSim Info: # -- Compiling entity hssi_rx
+ModelSim Info: # -- Compiling architecture hssi_receiver of hssi_rx
+ModelSim Info: # -- Compiling entity hssi_tx
+ModelSim Info: # -- Compiling architecture transmitter of hssi_tx
+ModelSim Info: # -- Loading entity hssi_pll
+ModelSim Info: # -- Loading entity hssi_rx
+ModelSim Info: # -- Loading entity hssi_fifo
+ModelSim Info: # -- Compiling entity altcdr_rx
+ModelSim Info: # -- Compiling architecture struct of altcdr_rx
+ModelSim Info: # -- Loading entity hssi_tx
+ModelSim Info: # -- Compiling entity altcdr_tx
+ModelSim Info: # -- Compiling architecture struct of altcdr_tx
+ModelSim Info: # -- Compiling entity stratixii_lvds_rx
+ModelSim Info: # -- Compiling architecture behavior of stratixii_lvds_rx
+ModelSim Info: # -- Compiling entity flexible_lvds_rx
+ModelSim Info: # -- Compiling architecture behavior of flexible_lvds_rx
+ModelSim Info: # -- Compiling entity stratixiii_lvds_rx
+ModelSim Info: # -- Compiling architecture behavior of stratixiii_lvds_rx
+ModelSim Info: # -- Loading entity altclklock
+ModelSim Info: # -- Loading entity stratixii_lvds_rx
+ModelSim Info: # -- Loading entity flexible_lvds_rx
+ModelSim Info: # -- Loading entity stratixiii_lvds_rx
+ModelSim Info: # -- Compiling entity altlvds_rx
+ModelSim Info: # -- Compiling architecture behavior of altlvds_rx
+ModelSim Info: # -- Compiling entity stratix_tx_outclk
+ModelSim Info: # -- Compiling architecture behavior of stratix_tx_outclk
+ModelSim Info: # -- Compiling entity stratixii_tx_outclk
+ModelSim Info: # -- Compiling architecture behavior of stratixii_tx_outclk
+ModelSim Info: # -- Compiling entity flexible_lvds_tx
+ModelSim Info: # -- Compiling architecture behavior of flexible_lvds_tx
+ModelSim Info: # -- Loading entity stratix_tx_outclk
+ModelSim Info: # -- Loading entity stratixii_tx_outclk
+ModelSim Info: # -- Loading entity flexible_lvds_tx
+ModelSim Info: # -- Compiling entity altlvds_tx
+ModelSim Info: # -- Compiling architecture behavior of altlvds_tx
+ModelSim Info: # -- Compiling entity altcam
+ModelSim Info: # -- Compiling architecture behave of altcam
+ModelSim Info: # -- Compiling entity altdpram
+ModelSim Info: # -- Compiling architecture behavior of altdpram
+ModelSim Info: # -- Compiling entity altsyncram
+ModelSim Info: # -- Compiling architecture translated of altsyncram
+ModelSim Info: # -- Loading entity altsyncram
+ModelSim Info: # -- Compiling entity alt3pram
+ModelSim Info: # -- Compiling architecture behavior of alt3pram
+ModelSim Info: # -- Compiling entity altqpram
+ModelSim Info: # -- Compiling architecture behavior of altqpram
+ModelSim Info: # -- Loading package altera_mf_components
+ModelSim Info: # -- Compiling entity parallel_add
+ModelSim Info: # -- Compiling architecture behaviour of parallel_add
+ModelSim Info: # -- Compiling entity scfifo
+ModelSim Info: # -- Compiling architecture behavior of scfifo
+ModelSim Info: # -- Compiling package dcfifo_pack
+ModelSim Info: # -- Compiling package body dcfifo_pack
+ModelSim Info: # -- Loading package dcfifo_pack
+ModelSim Info: # -- Compiling entity dcfifo_dffpipe
+ModelSim Info: # -- Compiling architecture behavior of dcfifo_dffpipe
+ModelSim Info: # -- Compiling entity dcfifo_fefifo
+ModelSim Info: # -- Compiling architecture behavior of dcfifo_fefifo
+ModelSim Info: # -- Loading entity dcfifo_fefifo
+ModelSim Info: # -- Loading entity dcfifo_dffpipe
+ModelSim Info: # -- Compiling entity dcfifo_async
+ModelSim Info: # -- Compiling architecture behavior of dcfifo_async
+ModelSim Info: # -- Compiling entity dcfifo_sync
+ModelSim Info: # -- Compiling architecture behavior of dcfifo_sync
+ModelSim Info: # -- Loading package altera_mf_hint_evaluation
+ModelSim Info: # -- Compiling entity dcfifo_low_latency
+ModelSim Info: # -- Compiling architecture behavior of dcfifo_low_latency
+ModelSim Info: # -- Loading entity dcfifo_async
+ModelSim Info: # -- Loading entity dcfifo_sync
+ModelSim Info: # -- Loading entity dcfifo_low_latency
+ModelSim Info: # -- Loading package dcfifo_pack
+ModelSim Info: # -- Compiling entity dcfifo_mixed_widths
+ModelSim Info: # -- Compiling architecture behavior of dcfifo_mixed_widths
+ModelSim Info: # -- Loading entity dcfifo_mixed_widths
+ModelSim Info: # -- Compiling entity dcfifo
+ModelSim Info: # -- Compiling architecture behavior of dcfifo
+ModelSim Info: # -- Compiling entity altshift_taps
+ModelSim Info: # -- Compiling architecture behavioural of altshift_taps
+ModelSim Info: # -- Compiling entity a_graycounter
+ModelSim Info: # -- Compiling architecture behavior of a_graycounter
+ModelSim Info: # -- Compiling entity altsquare
+ModelSim Info: # -- Compiling architecture altsquare_syn of altsquare
+ModelSim Info: # -- Compiling package sld_node
+ModelSim Info: # -- Compiling package body sld_node
+ModelSim Info: # -- Loading package sld_node
+ModelSim Info: # -- Loading package sld_node
+ModelSim Info: # -- Compiling entity signal_gen
+ModelSim Info: # -- Compiling architecture simmodel of signal_gen
+ModelSim Info: # -- Compiling entity jtag_tap_controller
+ModelSim Info: # -- Compiling architecture fsm of jtag_tap_controller
+ModelSim Info: # -- Compiling entity dummy_hub
+ModelSim Info: # -- Compiling architecture behavior of dummy_hub
+ModelSim Info: # -- Loading entity signal_gen
+ModelSim Info: # -- Loading entity jtag_tap_controller
+ModelSim Info: # -- Loading entity dummy_hub
+ModelSim Info: # -- Compiling entity sld_virtual_jtag
+ModelSim Info: # -- Compiling architecture structural of sld_virtual_jtag
+ModelSim Info: # -- Compiling entity sld_signaltap
+ModelSim Info: # -- Compiling architecture sim_sld_signaltap of sld_signaltap
+ModelSim Info: # -- Compiling entity altstratixii_oct
+ModelSim Info: # -- Compiling architecture sim_altstratixii_oct of altstratixii_oct
+ModelSim Info: # -- Compiling entity altparallel_flash_loader
+ModelSim Info: # -- Compiling architecture sim_altparallel_flash_loader of altparallel_flash_loader
+ModelSim Info: # -- Compiling entity altserial_flash_loader
+ModelSim Info: # -- Compiling architecture sim_altserial_flash_loader of altserial_flash_loader
+ModelSim Info: # 
+ModelSim Info: # vlib vhdl_libs/sgate
+ModelSim Info: # vmap sgate vhdl_libs/sgate
+ModelSim Info: # Modifying modelsim.ini
+ModelSim Info: # vcom -work sgate /opt/quartus/eda/sim_lib/sgate_pack.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling package sgate_pack
+ModelSim Info: # -- Compiling package body sgate_pack
+ModelSim Info: # -- Loading package sgate_pack
+ModelSim Info: # vcom -work sgate /opt/quartus/eda/sim_lib/sgate.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package std_logic_arith
+ModelSim Info: # -- Loading package std_logic_signed
+ModelSim Info: # -- Compiling entity oper_add
+ModelSim Info: # -- Compiling architecture sim_arch of oper_add
+ModelSim Info: # -- Compiling entity oper_addsub
+ModelSim Info: # -- Compiling architecture sim_arch of oper_addsub
+ModelSim Info: # -- Compiling entity mux21
+ModelSim Info: # -- Compiling architecture sim_arch of mux21
+ModelSim Info: # -- Compiling entity io_buf_tri
+ModelSim Info: # -- Compiling architecture sim_arch of io_buf_tri
+ModelSim Info: # -- Compiling entity io_buf_opdrn
+ModelSim Info: # -- Compiling architecture sim_arch of io_buf_opdrn
+ModelSim Info: # -- Compiling entity tri_bus
+ModelSim Info: # -- Compiling architecture sim_arch of tri_bus
+ModelSim Info: # -- Compiling entity oper_mult
+ModelSim Info: # -- Compiling architecture sim_arch of oper_mult
+ModelSim Info: # -- Loading package lpm_components
+ModelSim Info: # -- Compiling entity oper_div
+ModelSim Info: # -- Compiling architecture sim_arch of oper_div
+ModelSim Info: # -- Compiling entity oper_mod
+ModelSim Info: # -- Compiling architecture sim_arch of oper_mod
+ModelSim Info: # -- Loading package std_logic_unsigned
+ModelSim Info: # -- Compiling entity oper_left_shift
+ModelSim Info: # -- Compiling architecture sim_arch of oper_left_shift
+ModelSim Info: # -- Compiling entity oper_right_shift
+ModelSim Info: # -- Compiling architecture sim_arch of oper_right_shift
+ModelSim Info: # -- Compiling entity oper_rotate_left
+ModelSim Info: # -- Compiling architecture sim_arch of oper_rotate_left
+ModelSim Info: # -- Compiling entity oper_rotate_right
+ModelSim Info: # -- Compiling architecture sim_arch of oper_rotate_right
+ModelSim Info: # -- Compiling entity oper_less_than
+ModelSim Info: # -- Compiling architecture sim_arch of oper_less_than
+ModelSim Info: # -- Loading package sgate_pack
+ModelSim Info: # -- Compiling entity oper_mux
+ModelSim Info: # -- Compiling architecture sim_arch of oper_mux
+ModelSim Info: # -- Compiling entity oper_selector
+ModelSim Info: # -- Compiling architecture sim_arch of oper_selector
+ModelSim Info: # -- Compiling entity oper_prio_selector
+ModelSim Info: # -- Compiling architecture sim_arch of oper_prio_selector
+ModelSim Info: # -- Compiling entity oper_decoder
+ModelSim Info: # -- Compiling architecture sim_arch of oper_decoder
+ModelSim Info: # -- Compiling entity oper_bus_mux
+ModelSim Info: # -- Compiling architecture sim_arch of oper_bus_mux
+ModelSim Info: # -- Compiling entity oper_latch
+ModelSim Info: # -- Compiling architecture sim_arch of oper_latch
+ModelSim Info: # 
+ModelSim Info: # vlib vhdl_libs/cycloneii
+ModelSim Info: # vmap cycloneii vhdl_libs/cycloneii
+ModelSim Info: # Modifying modelsim.ini
+ModelSim Info: # vcom -work cycloneii /opt/quartus/eda/sim_lib/cycloneii_atoms.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package vital_timing
+ModelSim Info: # -- Loading package vital_primitives
+ModelSim Info: # -- Compiling package cycloneii_atom_pack
+ModelSim Info: # -- Compiling package body cycloneii_atom_pack
+ModelSim Info: # -- Loading package cycloneii_atom_pack
+ModelSim Info: # -- Compiling package cycloneii_pllpack
+ModelSim Info: # -- Compiling package body cycloneii_pllpack
+ModelSim Info: # -- Loading package cycloneii_pllpack
+ModelSim Info: # -- Loading package cycloneii_atom_pack
+ModelSim Info: # -- Compiling entity cycloneii_dffe
+ModelSim Info: # -- Compiling architecture behave of cycloneii_dffe
+ModelSim Info: # -- Compiling entity cycloneii_mux21
+ModelSim Info: # -- Compiling architecture altvital of cycloneii_mux21
+ModelSim Info: # -- Compiling entity cycloneii_mux41
+ModelSim Info: # -- Compiling architecture altvital of cycloneii_mux41
+ModelSim Info: # -- Compiling entity cycloneii_and1
+ModelSim Info: # -- Compiling architecture altvital of cycloneii_and1
+ModelSim Info: # -- Compiling entity cycloneii_ram_register
+ModelSim Info: # -- Compiling architecture reg_arch of cycloneii_ram_register
+ModelSim Info: # -- Compiling entity cycloneii_ram_pulse_generator
+ModelSim Info: # -- Compiling architecture pgen_arch of cycloneii_ram_pulse_generator
+ModelSim Info: # -- Loading entity cycloneii_ram_register
+ModelSim Info: # -- Loading entity cycloneii_ram_pulse_generator
+ModelSim Info: # -- Compiling entity cycloneii_ram_block
+ModelSim Info: # -- Compiling architecture block_arch of cycloneii_ram_block
+ModelSim Info: # -- Compiling entity cycloneii_jtag
+ModelSim Info: # -- Compiling architecture architecture_jtag of cycloneii_jtag
+ModelSim Info: # -- Compiling entity cycloneii_crcblock
+ModelSim Info: # -- Compiling architecture architecture_crcblock of cycloneii_crcblock
+ModelSim Info: # -- Compiling entity cycloneii_asmiblock
+ModelSim Info: # -- Compiling architecture architecture_asmiblock of cycloneii_asmiblock
+ModelSim Info: # -- Compiling entity cycloneii_m_cntr
+ModelSim Info: # -- Compiling architecture behave of cycloneii_m_cntr
+ModelSim Info: # -- Compiling entity cycloneii_n_cntr
+ModelSim Info: # -- Compiling architecture behave of cycloneii_n_cntr
+ModelSim Info: # -- Compiling entity cycloneii_scale_cntr
+ModelSim Info: # -- Compiling architecture behave of cycloneii_scale_cntr
+ModelSim Info: # -- Compiling entity cycloneii_pll_reg
+ModelSim Info: # -- Compiling architecture behave of cycloneii_pll_reg
+ModelSim Info: # -- Loading package textio
+ModelSim Info: # -- Loading package cycloneii_pllpack
+ModelSim Info: # -- Loading entity cycloneii_m_cntr
+ModelSim Info: # -- Loading entity cycloneii_n_cntr
+ModelSim Info: # -- Loading entity cycloneii_scale_cntr
+ModelSim Info: # -- Loading entity cycloneii_dffe
+ModelSim Info: # -- Loading entity cycloneii_pll_reg
+ModelSim Info: # -- Compiling entity cycloneii_pll
+ModelSim Info: # -- Compiling architecture vital_pll of cycloneii_pll
+ModelSim Info: # -- Compiling entity cycloneii_routing_wire
+ModelSim Info: # -- Compiling architecture behave of cycloneii_routing_wire
+ModelSim Info: # -- Loading entity cycloneii_and1
+ModelSim Info: # -- Compiling entity cycloneii_lcell_ff
+ModelSim Info: # -- Compiling architecture vital_lcell_ff of cycloneii_lcell_ff
+ModelSim Info: # -- Compiling entity cycloneii_lcell_comb
+ModelSim Info: # -- Compiling architecture vital_lcell_comb of cycloneii_lcell_comb
+ModelSim Info: # -- Loading package std_logic_arith
+ModelSim Info: # -- Compiling entity cycloneii_asynch_io
+ModelSim Info: # -- Compiling architecture behave of cycloneii_asynch_io
+ModelSim Info: # -- Loading entity cycloneii_asynch_io
+ModelSim Info: # -- Loading entity cycloneii_mux21
+ModelSim Info: # -- Compiling entity cycloneii_io
+ModelSim Info: # -- Compiling architecture structure of cycloneii_io
+ModelSim Info: # -- Loading package std_logic_unsigned
+ModelSim Info: # -- Compiling entity cycloneii_clk_delay_ctrl
+ModelSim Info: # -- Compiling architecture vital_clk_delay_ctrl of cycloneii_clk_delay_ctrl
+ModelSim Info: # -- Compiling entity cycloneii_clk_delay_cal_ctrl
+ModelSim Info: # -- Compiling architecture vital_clk_delay_cal_ctrl of cycloneii_clk_delay_cal_ctrl
+ModelSim Info: # -- Compiling entity cycloneii_mac_data_reg
+ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_data_reg of cycloneii_mac_data_reg
+ModelSim Info: # -- Compiling entity cycloneii_mac_sign_reg
+ModelSim Info: # -- Compiling architecture cycloneii_mac_sign_reg of cycloneii_mac_sign_reg
+ModelSim Info: # -- Compiling entity cycloneii_mac_mult_internal
+ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_mult_internal of cycloneii_mac_mult_internal
+ModelSim Info: # -- Loading entity cycloneii_mac_data_reg
+ModelSim Info: # -- Loading entity cycloneii_mac_sign_reg
+ModelSim Info: # -- Loading entity cycloneii_mac_mult_internal
+ModelSim Info: # -- Compiling entity cycloneii_mac_mult
+ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_mult of cycloneii_mac_mult
+ModelSim Info: # -- Compiling entity cycloneii_mac_out
+ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_out of cycloneii_mac_out
+ModelSim Info: # -- Compiling entity cycloneii_ena_reg
+ModelSim Info: # -- Compiling architecture behave of cycloneii_ena_reg
+ModelSim Info: # -- Loading entity cycloneii_ena_reg
+ModelSim Info: # -- Compiling entity cycloneii_clkctrl
+ModelSim Info: # -- Compiling architecture vital_clkctrl of cycloneii_clkctrl
+ModelSim Info: # vcom -work cycloneii /opt/quartus/eda/sim_lib/cycloneii_components.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package vital_timing
+ModelSim Info: # -- Loading package vital_primitives
+ModelSim Info: # -- Loading package cycloneii_atom_pack
+ModelSim Info: # -- Compiling package cycloneii_components
+ModelSim Info: # 
+ModelSim Info: # if {[file exists rtl_work]} {
+ModelSim Info: #       vdel -lib rtl_work -all
+ModelSim Info: # }
+ModelSim Info: # vlib rtl_work
+ModelSim Info: # vmap work rtl_work
+ModelSim Info: # Modifying modelsim.ini
+ModelSim Info: # 
+ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/demo_pkg.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling package demo_pkg
+ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/pll.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling entity pll
+ModelSim Info: # -- Compiling architecture syn of pll
+ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/demo_top.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Compiling entity demo_top
+ModelSim Info: # -- Compiling architecture structure of demo_top
+ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/demo.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package numeric_std
+ModelSim Info: # -- Loading package demo_pkg
+ModelSim Info: # -- Compiling entity demo
+ModelSim Info: # -- Compiling architecture behav of demo
+ModelSim Info: # 
+ModelSim Info: # do ../../../sim/demo_tb_rtl.do
+ModelSim Info: # compile testbench
+ModelSim Info: # vcom -work work ../../../sim/demo_tb.vhd
+ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
+ModelSim Info: # -- Loading package standard
+ModelSim Info: # -- Loading package std_logic_1164
+ModelSim Info: # -- Loading package demo_pkg
+ModelSim Info: # -- Compiling entity demo_tb
+ModelSim Info: # -- Compiling architecture behav of demo_tb
+ModelSim Info: # 
+ModelSim Info: # start simulation
+ModelSim Info: # vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L cycloneii -L rtl_work -L work -voptargs="+acc" demo_tb
+ModelSim Info: # vsim -L altera -L lpm -L sgate -L altera_mf -L cycloneii -L rtl_work -L work -voptargs=\"+acc\" -t 1ps demo_tb 
+ModelSim Info: # ** Note: (vsim-3812) Design is being optimized...
+ModelSim Info: # Loading std.standard
+ModelSim Info: # Loading ieee.std_logic_1164(body)
+ModelSim Info: # Loading work.demo_pkg
+ModelSim Info: # Loading work.demo_tb(behav)#1
+ModelSim Info: # Loading work.demo_top(structure)#1
+ModelSim Info: # Loading ieee.numeric_std(body)
+ModelSim Info: # Loading work.demo(behav)
+ModelSim Info: # Loading work.pll(syn)#1
+ModelSim Info: # Loading altera_mf.altera_device_families(body)
+ModelSim Info: # Loading std.textio(body)
+ModelSim Info: # Loading altera_mf.mf_pllpack(body)
+ModelSim Info: # Loading ieee.std_logic_arith(body)
+ModelSim Info: # Loading ieee.std_logic_unsigned(body)
+ModelSim Info: # Loading altera_mf.altpll(behavior)#1
+ModelSim Info: # Loading altera_mf.mf_stratixii_pll(vital_pll)#2
+ModelSim Info: # Loading altera_mf.arm_m_cntr(behave)
+ModelSim Info: # Loading altera_mf.arm_n_cntr(behave)
+ModelSim Info: # Loading altera_mf.arm_scale_cntr(behave)#1
+ModelSim Info: # view -undock wave
+ModelSim Info: # .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs.pw.wf
+ModelSim Info: # 
+ModelSim Info: # add signals to waveform
+ModelSim Info: # add all testbench signals 
+ModelSim Info: # add wave *
+ModelSim Info: # 
+ModelSim Info: # add wave -divider PLL
+ModelSim Info: # add wave uut/pll_inst/c0
+ModelSim Info: # 
+ModelSim Info: # add internal signals of unit under test
+ModelSim Info: # add wave -divider DEMO
+ModelSim Info: # add wave uut/demo_inst/counter
+ModelSim Info: # add wave uut/demo_inst/ledstate
+ModelSim Info: # 
+ModelSim Info: # auto-run simulation
+ModelSim Info: # run 50 us
+ModelSim Info: # ** Note: StratixII PLL is enabled
+ModelSim Info: #    Time: 0 ps  Iteration: 2  Instance: /demo_tb/uut/pll_inst/altpll_component/cycloneii_altpll/m3
+ModelSim Info: # ** Note: StratixII PLL locked to incoming clock
+ModelSim Info: #    Time: 220 ns  Iteration: 4  Instance: /demo_tb/uut/pll_inst/altpll_component/cycloneii_altpll/m3
+ModelSim Info: # wave zoomfull
+ModelSim Info: # 0 ps
+ModelSim Info: # 52500 ns
+Info: NativeLink Simulation succeeded
+Info: Nativelink simulation process ended