From cc27110a810d9ddb4c2b05caf226864390af29a1 Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Sat, 22 May 2010 07:38:27 +0200 Subject: [PATCH] history: anmerkung update --- src/history.vhd | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/src/history.vhd b/src/history.vhd index 9a65e59..d976057 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -235,13 +235,12 @@ begin pos_next <= std_logic_vector(unsigned(pos_int) + to_unsigned(1,H_RAM_WIDTH)); end if; when SIDLE => - -- TODO: tmp fix d_new_result_next <= '0'; when S_S_INIT => null; when S_S_WRITE => -- nur bei < 71 weiter machen - -- TODO: '/=' billiger als '<' ? + -- Hint: '/=' billiger als '<' if unsigned(s_cnt_int) /= 71 then wr_next <= '1'; address_next <= std_logic_vector(unsigned(pos_int) + unsigned(s_cnt_int)); -- 2.25.1