From b6503f8ccf6fb6d0e88e514a306e32d7a7c1a5d7 Mon Sep 17 00:00:00 2001 From: Alexander Oh Date: Thu, 27 May 2010 06:22:58 +0200 Subject: [PATCH] first data receive, data garbage --- src/beh_pc_communication_tb.vhd | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/src/beh_pc_communication_tb.vhd b/src/beh_pc_communication_tb.vhd index 9d12996..d2a693e 100644 --- a/src/beh_pc_communication_tb.vhd +++ b/src/beh_pc_communication_tb.vhd @@ -85,11 +85,10 @@ begin while i < l'length loop pc_done <= '0'; wait until rising_edge(pc_get); - wait for 90 ns; - pc_busy <= '1'; + wait for 150 ns; pc_char <= (others => '0'); - wait for 300 ns; - + pc_busy <= '1'; + wait for 30 ns; pc_char <= hbyte(std_logic_vector(to_unsigned(character'pos(buf(i)),8))); i := i + 1; pc_busy <= '0'; -- 2.25.1