From 35c9e56f01e3a9a43ac508b9dd5e69e24cc46ef7 Mon Sep 17 00:00:00 2001 From: Alexander Oh Date: Wed, 26 May 2010 03:39:34 +0200 Subject: [PATCH] quickfix --- src/pc_communication.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/pc_communication.vhd b/src/pc_communication.vhd index dea269b..3490ea1 100644 --- a/src/pc_communication.vhd +++ b/src/pc_communication.vhd @@ -101,7 +101,7 @@ begin end if; end process async_push_history; - output_pc : process (state, zeile, spalte, char, tx_done_i, spalte_up, spalte, zeile) + output_pc : process (state, zeile, spalte, char, tx_done_i, spalte_up) begin get_next <= '0'; new_i_next <= '0'; -- 2.25.1