From 32a5c373799d20e6ee910b133074303df5ebd86a Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Sat, 22 May 2010 06:09:00 +0200 Subject: [PATCH] postlayoutsim: alu gefixt und parser hinzugefuegt, inkl. screenshots --- .gitignore | 3 +- quartus/linux_tilab.sh | 8 +- quartus/linux_web.sh | 8 +- quartus/project_alu.tcl | 50 +++++++++ quartus/project_parser.tcl | 51 +++++++++ sim/screenshots/post_alu_0.png | Bin 0 -> 71050 bytes sim/screenshots/post_alu_1.png | Bin 0 -> 68256 bytes sim/screenshots/post_parser.png | Bin 0 -> 68390 bytes src/Makefile | 28 ++--- src/TODO | 9 +- src/post_alu_tb.do | 1 + src/post_alu_tb.vhd | 119 ++++++++++++-------- src/post_parser_tb.do | 24 ++++ src/post_parser_tb.vhd | 191 ++++++++++++++++++++++++++++++++ 14 files changed, 419 insertions(+), 73 deletions(-) create mode 100644 quartus/project_alu.tcl create mode 100644 quartus/project_parser.tcl create mode 100644 sim/screenshots/post_alu_0.png create mode 100644 sim/screenshots/post_alu_1.png create mode 100644 sim/screenshots/post_parser.png create mode 100644 src/post_parser_tb.do create mode 100644 src/post_parser_tb.vhd diff --git a/.gitignore b/.gitignore index d4daef8..2bec035 100644 --- a/.gitignore +++ b/.gitignore @@ -13,7 +13,8 @@ spec/*.png *.ppk #sim -sim/ +sim/post +sim/beh #modelsim src/transcript diff --git a/quartus/linux_tilab.sh b/quartus/linux_tilab.sh index dcfd9b4..f0b8f53 100755 --- a/quartus/linux_tilab.sh +++ b/quartus/linux_tilab.sh @@ -1,6 +1,12 @@ #!/bin/sh unset LS_COLORS -sed -e 's/%DEVICE%/EP1S25F672C6/g' project_gen.tcl > project_tilab.tcl +if [ "$1" = "" ]; then + echo "kein param" + sed -e 's/%DEVICE%/EP1S25F672C6/g' project_gen.tcl > project_tilab.tcl +else + echo "param $1" + sed -e 's/%DEVICE%/EP1S25F672C6/g' $1 > project_tilab.tcl +fi mkdir -p calc cd calc quartus_sh -t ../project_tilab.tcl diff --git a/quartus/linux_web.sh b/quartus/linux_web.sh index a6d0b4a..3799776 100755 --- a/quartus/linux_web.sh +++ b/quartus/linux_web.sh @@ -1,6 +1,12 @@ #!/bin/sh unset LS_COLORS -sed -e 's/%DEVICE%/EP1S10F672C6/g' project_gen.tcl > project_web.tcl +if [ "$1" = "" ]; then + echo "kein param" + sed -e 's/%DEVICE%/EP1S10F672C6/g' project_gen.tcl > project_web.tcl +else + echo "param $1" + sed -e 's/%DEVICE%/EP1S10F672C6/g' $1 > project_web.tcl +fi mkdir -p calc cd calc quartus_sh -t ../project_web.tcl diff --git a/quartus/project_alu.tcl b/quartus/project_alu.tcl new file mode 100644 index 0000000..8433ebe --- /dev/null +++ b/quartus/project_alu.tcl @@ -0,0 +1,50 @@ +package require ::quartus::project + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "calc"]} { + puts "Project calc is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists calc]} { + project_open -revision calc calc + } else { + project_new -revision calc calc + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + set_global_assignment -name FAMILY Stratix + set_global_assignment -name DEVICE %DEVICE% + set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)" + set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation + set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga + set_global_assignment -name MISC_FILE "calc.dpf" + set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" + set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP" + set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" + + set_global_assignment -name TOP_LEVEL_ENTITY alu + set_global_assignment -name VHDL_FILE ../../src/gen_pkg.vhd + set_global_assignment -name VHDL_FILE ../../src/math_pkg.vhd + set_global_assignment -name VHDL_FILE ../../src/alu.vhd + + #pin mapping/system + set_location_assignment PIN_N3 -to sys_clk + set_location_assignment PIN_AF17 -to sys_res_n + + # Commit assignments + export_assignments + + # Close project + if {$need_to_close_project} { + project_close + } +} diff --git a/quartus/project_parser.tcl b/quartus/project_parser.tcl new file mode 100644 index 0000000..75bd3fe --- /dev/null +++ b/quartus/project_parser.tcl @@ -0,0 +1,51 @@ +package require ::quartus::project + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "calc"]} { + puts "Project calc is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists calc]} { + project_open -revision calc calc + } else { + project_new -revision calc calc + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + set_global_assignment -name FAMILY Stratix + set_global_assignment -name DEVICE %DEVICE% + set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)" + set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation + set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga + set_global_assignment -name MISC_FILE "calc.dpf" + set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" + set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP" + set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" + + set_global_assignment -name TOP_LEVEL_ENTITY parser + set_global_assignment -name VHDL_FILE ../../src/gen_pkg.vhd + set_global_assignment -name VHDL_FILE ../../src/math_pkg.vhd + set_global_assignment -name VHDL_FILE ../../src/alu.vhd + set_global_assignment -name VHDL_FILE ../../src/parser.vhd + + #pin mapping/system + set_location_assignment PIN_N3 -to sys_clk + set_location_assignment PIN_AF17 -to sys_res_n + + # Commit assignments + export_assignments + + # Close project + if {$need_to_close_project} { + project_close + } +} diff --git a/sim/screenshots/post_alu_0.png b/sim/screenshots/post_alu_0.png new file mode 100644 index 0000000000000000000000000000000000000000..4b4f5811a96fdfabaed61a3d183776f5635ee16c GIT binary patch literal 71050 zcmbTe2UL?w*FPFW0S`?@MX4$(C<4+u5m6A3B8W%_k={!{S^!b70SW?A1JY~gJqd__ zbfkqAf*>`703itklH73K&vV}Iu6zG$-8(Fj%;b4y&rJ5-v-h6ge%>1DYq9^u^A`XB zVApi<}&inIX^dZtqtm|~GOTyK`aE8BYVCu|0>A%1D`{j=PB>>yMRrZ&F+yB;N zSdO**TN}P)?tBbDc(&Z1>gwec7>Xs}^>LqMeus7W7b1d+wT73Zk)4*p4Wm(G=nDRf zWed-yjX7ST@4kn8VRN&Ybs>1+aU6uYF{TB(<#mBF?gl(*pI+1j&L06^S|`7Pq2C)@ zFw)5t4K$gQ4>Go92!)hGk#5Jp$tn1&fIF%}^noUGF=4dt z7@C<*>k@cHl;G`Yvc~g1-OmY%eY9z*woYaQ7;;`X#&ZXi2}l(j7>x}N7{&Jbkkiw- zB7B$93!84B#pj=56SaYO!kOE1rx{(X$u*EfD+!2b1N-B}S7?`Xu?E$&hRgCZA!9R{ z5b}#41e(g#6$;xB?n~mNlg%ctd;$-Z-_#4V0M|in9^)Id967u)w zQJbb!`WlQulyl_-TeMz5c3svuxz2gAL$t{wrgHrv!S}vu=#!7sjXG>Ahu6|_Q~G;W zyN1ls!_4V|St9RJz)GRol2jjTGyEo{88#ByH_(mw!TgBUD?q0oLBpSK=-#p(N?!=x z@KV(hmk%~;!Vfh{2m=7trvXfcNMRXkHRDb0a(7w*8aoP(_@ zZXf8&l51uN^VhbPNnYcgHMhf`8kS-!EP;X30VS&F+cT-}FVhL#Q3+C&FHZ53mtPTH z+T^q>_tO9X7G42vNAT}l75Ne7_*KR3?aud(0oaQU&lumOn56tE7SeAY-s2UYi!pL{ zY_Mg!IzSa~V_ebZ%J0Ayzur~Cw19d&DSJjJ((-5})he7mD>txWEQQMa8eFZ0Sb{5l z%a|MG|NKPfB<|x83}dQ=HaTg9%Bl^@kyQfoAz_FVE)IF&tJb|`yVOhVBO+idre4iX zEXDZL^r3tMronRG^T6OK76*b)U~`gZ?3+Mtrb9Z|i^~B{q^OfnLh+ayxPc3bFZcg- zy#&lkAH=1-rlirT;3hYI>y^{LX9qA%Nk~7B7W|b$zaZ$!x25UWc?m#v*0tnYW(hX6 z&Zor~0C)Hozn=v}>=fvwU)NqZ|5UTpPXUgU*MCX`lRDtSp9%oLbMIMC!nGiJhyRpy8(lv?>GFT-bVZnb zKY=*o1vEeQZ{zwTuUBik>VMOD^napr{C^Gb)c=P8b^^R_CRJ0Ms4Xb`ZIhBH)ImoD zWYnp&>QH^UBn@A#sr=ajetQK|U*=lta{;t%VbFqw&0-n}w95L|BFU1T*z*%keK3v@ z&OehZ;`Us42Uf#9d7m!tAa&6uoHY@>|F{%9o|R(kR5{V^X$}()$zLi39cVT6kDJF6 z24^*E&03L1a`|_8qd9*$ThxL7iKxb~i&~cqCf8K+)S!92?EGVwec1E9AS-ao{5z|* zT1#SCdUNmqJhoa;p$?IoCQ8`6~nA8*QE@d zK*^ISaFGU}&rCyUmDh>?A$jShwWb>JOuF;i>Tlz{UD7Go+WGOpuVu?SF($%(^~J{N zW;4wrQm>~tCYqb9=6w6O@=#?4> za)twx7|0RL!l9h28HqR|1Pnq7z3V><-#5{DvW{kGEcrWsP> z8p3C;;SUo9sMvLh!mJlP-+modxb^gGKLb<46x1+J186E*X?bC;n{r)M1kgs?1b&sX-umn1?J9W zH_@qNAm6TlamsaX@x}bWl3D#&oC~Rz#X}~peL8(kNa2OEA|N_L)cwm4?$#B7A+dT_ z1zyZY9)b_BTB5mEq}BlST7O1msA|2);MKaVxz9GQV-_{eqBf?%Ut$s;TyB%Q8x`yl zZ;ldH+{6?JtX~)(R4Z{HDXILk?Z=99_$W(7{3`A^^PORm2W-y5%B+4!2ge$q4t51mKHNz8~#&38^03`#E(Z@D=sgOJFq2Q7vJ>RAkV+jJ$=7XQj>t_r4af%j`};e0eYhAZTq!{B z6}_MB^|Jv`FH{-lqC9L6adUTDr1q)smvFsy%@Y7+IXKtTc=~3D3ypxEsN|0XJ)DUI z9~(=#-tuX6jMY~880JeoBjtFJkew zokJ(~JYR1f$ViqfS*jj{&dor7e#YSK%1Vp+ygq;`?-<=p?eC9Rs!jR)ivYUz{(ObF z8bmJaSrMiI2D3`|JhakakfCgv@cBXE`%$j9uN((&jWvaN&q@)yPo$;js2N^-jsXTJ-UjpWWR-S^n$Jbjt7rx&`SizJ8 zx?|Ky*yS+C*$MlbNhL2b&LhERep{*yt_bu*ey-L_eK-2;mLOoEpQq@(Tid{%e)%p- z9Ejn&M$yH#Bz+#!vZpzNgM-Z+#|+YT!8Q9Uk>m~+e}6|%^qI3!m$(x z0u|SR-VFtJE*-xjnP+ua-kln0ZTjqZ*Z>GCUNgj(`k>J|E>sQbuVf`9CZ_GTaucYa znmOdQIoo7jX{)Zil?#KR0_VkVxgjgYr|aCVY1?;w`1wWB{;D>e*+w^8a23!ntXq2n zP0{K#K`zkU|KN+F)u&_|)_|}+eWlEaPg?bysnmXH=@TSwwXfD%(YeC?NaLZwxUxfv zu)zeTFS)axS~mwwKA=9xpH6ykJl#u4%t5Ngk_el}OP@V9L?mn*!JEREn3&$JaPrEz z51$l1)PDOtOo_ajD{J@dI6E6#5fo}5q!qUdL)`~OR;6)E#m;+$hg*w@y(YfXy`-QM zWBTK6klM@lDCKV_)>;=o1+-eJDCegyJKfZ0tqHY3Mx1}xfasETvjaiz@0V$f5dv=l z*XwJB2uqX%Yo@!b=vO>k5at`9SOI^Ey#*;{KW<}Nl}MSU@zmPgdnufQnX zGXwKYLmP4Rmf-^{_$t<8+z8{up6d9zku04l>ZLK4VAue3?jqI*<7V!obe*k6+%Bb# zVi^y&#FeNW-@VUml?S06uE??;W5%`#X|f^N<#$(mMMR?8=Y zFEZLg6_#r)`V+QZALpTqZ++VwWXQ(9zL}XDnXma@-gA>F-fs22T(c#y{@%m~_F$pG z-~y%xyBkayL0A30c5Q5K&N`uI31l^Pg>iB#*KKobK%Vx{X1~|;eLQNvweV7eQ}GZGIxKvmevUy_Uf$(JjeplgLF8#Iz8qr% zRTBds#eaU^a?j9cI^IhTph4wk2cz3AwJTfiT zHPG@IiBY@|A-nU|z9Q)9a|hmbG|aJoSot){NyM3wI}MDkvT~4;PSU9p{ZTR17ifF| zpIFZvj$O9;u}T2j70}rR27~o3`SPd+*OCto2n+9PWWk4_lwX`3NqtMZhxj44nR<_D z`*>CVAD3hH)YR(Kz&=EGiYxVS!G3rVEfUqnC0w9$3^78y?(k{w}3qlE#2ZFB}@_V%A1W3 z47OSW+Ab+KQNe-S`GR3GOZ&T+&4%-9#<=tXAR@3(JafxKb(+FWA!#FBdb&dVrzFu6 zIAopMgilS~{5INk;v|HGI^We3dY0QT%K9OzGUMdt70oM>RwH-wjZC%EuDkrSMYML= z_pE)Rt=)wTI$q0FzBt4hx!}JId$jA)0=L5Kd|TzxSD(B65;5Vx>bK|EBAEUviG6Nr zKYJ*@BCNnZhkf}$0^M(~q1;W17kvKsuT38Ap4c^Y>4*Wi&v+BL%Vgy|gtwI`5({2R zPkyJ)(J8Wb@QqbswHZ?}8V&zM{w7beNQ_s!n|{FTPlKGtWW}8b-suqr5vQCmNv75( zWgv>Q2kSrO{~AJ8%w^*dH7%Yr&qnoWR>5ht_@d|ViQLB_MyaX4GAct*G1CMwWzF;6 zvQceIMD#>-q$ zZIv{cH@kXHU9-LttmbUy5N|y?h<*=#*{}HoH(6z0hqMmSPM{l244}Y3ZW?vgZ)lCq zc_~*>f#|Sa$C?V9uA{0`4V*fDxUX~Fm^^;?0eZUB7|8irRX6n!Fl0Fdg=4Z0r_gW6Y6o%ch`03%OcOK=A|~ zYJ-epMEc@24uUA25A%)c)on498>QQ-_T0Z?Ew)T|vOZzzv-ENAuP`bk_J}Bc(e?;q z%eBfCN4Fko7C`qws>j3oVl~ay=+4EIRZ74Na|d7NnOJBEgYDvXpU!vZG+wsX?Mcac zxqA|JcXbl#Jv}OB8^vH*m=~s|F@qPCHTn(&M!Ex)O*uU&H3b{HJ}A;0zmk0eezyhG zur7TNs&6`b0HO>{0Z&pE(yFpPtbrv|UVmb6KOWY>LQOkB6CEt`LcwW&wcBW?72JFF z$*8zgg(-4W>R3zC!6=bLhE2{dFT6!dY*zNMjtG}|xKLiQ1>3qbJbZL$-s_TvmcUfm zWBeM;7qw2k_K?6f#*znmB?3>+aJmtpL3>Uu8#aEYCUM~6#*_>F{-Tcc=L&V}-qF-3 zyQAbqL0ZubuI}}*_u<)`af0Qh%`q;1%lBiIooy&r!;->t$;>+nrR_C0Egl%e|EXx`=7_7=KRTAc^2)9-Z zhsT{)e67QFv}4^o#?uD%4cgJ>9{E_#DmzWX7V99_3@$)Tw{7Q5Q{`2S)qX+pNsq=gw?bS&Id6w@MUadgle8P-iWVR#}2t@ zz|DaByMwMS31s{TgR*W5XNvXoRjmA_@=&emX$e^|Do|=njV8tT^a-C@!Ru|fieU!7m}Q;)lhV#>-~c2Jeu^(}iU-qgc4Ml?bOuX3wTJSvx! zomS8G`Sg9@-FD56=7$Svd+x@aB+sdZ@{MyiwFCvWS?Rm|s#x$u&NE+_l41~g|950%?R6# z9&g?%P(3Erkr941CK)m6se@AZMn%u7zUd=&w3G5Y`6Pe;%wWiHH8_u%Pl1FL)!ATc zjo0pfcgjjNW7|uE$e*G6*klTBR^Jn$+)w*9OD)HUY}gC7>>&LETrJ&73+KJc*9D1G zAFbYMKc!+$sn(RKAD@JDCuq^^yt^a15^Gi60*O~)gb9o3)}WetPZXR>jW}tqDj1{W zV9j%}ZEAx!?=F?(n_29p>KPD>Z8+4NhC~NTUil<)AW_CDGlR5oVKVu$qHSmGw^i+> z)0nZmk^wjOOoRN?!%H{QkWmMTu)$kL#$!vkdb!zXK2!iXVI!)H9a|JBZ z@POX1JHFWPmqr-nd-=8aSUI3FMxNwip_`M4qP*LUG0k^y%XdO22Jnk}Y$h`8jId(l zoFSR{9vB;=Km&v(wmA?r)~>rBBlr=yj7(YEFrbBx^fvXDoADeFS$kQi(d~Vt52f=^ z6fDBcpY}{>Rm6mY+Dx&&MFPv?@xc%6xDN+7n5JU8vzMHtE?yIBU%uVjP_Mh~;}~Y? z_684nR`?=i6m+shQ1pqC@UEZgckv>(K-l-9DAWp1xy|=-!MdWzvoF~ae30q)u9#HS z1Uozj=(fDFrrDDe)B`I#kWKcE>lGkY(4g9A-B!;DGGc{$@YVTHmrrnFI&{4&eBW&T zJupdsKGr5}A2#HA0bw2|#n?E^^j>HB>lVo+N<+f{|M6soz>b7f>jf9m7b0x4m8vB2 z!|-~NIQ;&Ua0c0>Y0Y~5EFtCL*pV-jG^>U3oI$LowlG1%f&RaV%VDpw0C zW;k!wbK>3kH>beOEdjTsBCyBWmZTymvy79?UOI)N8k=s#{^qY+8>abW=zXoVg=u1j zHM&-p5E4IG4QP5DyWph;!rEICiM32d;smoWt~}Gi+R!uw!D_{=_E=4twBPBL_g-sX zd?w(>N@jq>VGW+xmvr!Jw(9jI=%+QeunfiB?Qby&%}ZnMc?X7taEI<6$LNfA-@NLX zd56^{8Ns11Bw6d2q|G<0bB&(i!(-@__}W9O7V!~3kRh#-6t5m{8Y{@13OV2px)O)? zb6f0+UB_TV9S?Ly=s{N*(7JsmvM`Gradj;xMqgCF1qVMEo1HByHZBZSvaV~fdMnGQ zkg9V-`O8JV`%IN7JHq<2V9TfCHG#dKpQ!H5N0A}4CUyEv6?AFf?9?ldo+Aarp9i+r z&iW(pK7Qh72tkQV@ny=&%CZhRrTvB42y}HJHt^YO`0)@50f`*&=^_DZ(#gSRbgt%E zB4k#$mKS?H5(1DCH_Lh@45pVWVcKD;Cy)4@+++Xiu&tNHwtgK+CL6F0b=+(*$5%7i zb+|(m`iFW%dC5s`E{}{8$5i$tF1x%)lqoSYpAlQy8Tl1#9D=KRZ?3udBoO3nLH%sm z5~o1)kDWd^iw*c8IlX11lRC(OmE07n@0Z8LS1hat~lR@N8?+Vv7Xl!>QhvFXWoJ-ijWG&Tx z8@bz2EWaL?^j?O-m~Y=dyC(rO_PkWMT;NCvL-~ezLl)~cV!LI65L{Ls&piz$2ik3b z>hqOS5gmU~dHNog+&=VkiEusmIK0WN=z)jIRMx>b{FdYa8!N1n*pFP+eR%x+71=#E zY~V6~xfR6UqOd6N`}1=t^{)wc?Jl#ZG(6{(q_K2h;RXI*(y;1tBT>klQ52EOZyu>~ zSo1rShOQ*)b!7PqETKjZUaa9ih(>kq6Y5Cu*TsN*uk9hTFg zLjn#I3UyPP?1iRck}(z&_7V2+D77=11_t3KI7YtoT+Kdk_nHDdL^fa&WGw-2gvERI zRo)XhNC}pp+a+{o%49L5>riPLH@>yJvTFuZU(U)B;0N#h3SP+!d^b85j1g1a9d_w# zgI=X<25OZQyBq)>Lk@ongHRx3(_a8nB`RM6gUXljth%g{Jkpl!c(cRuR% zN@iwer(h&Fc!iLV=QR_G>jWt{7pqCIX>PZ?5$Qebu~$wyE|bglTvJveH$$7Xz-_7Q z^(W|z>(Ee-G$sb~Rmfs@=eo=D#IesJ$0cK~<*91v@CN5o0+Oq(N@X4U=YsM34$}^h zsPz&K&tAwRO$$Z~^KwZ+>5q`Pv&OgXK?9~hHdxEc#z+qoE(F_0KCrfsIoR*k@B-qH zxAYD?beExoI^^XXm?K~YRhe8 zsSdanb-)LuJw24wo5S6+3g|Yq5;Sbw=idDd{+6eGdBZTlyv{YrsMtGtg-2rfo0%mmF)vvB7Y|6O|XQwZi2hmB^mXGf1Le>(mb z;;NpgRZUJde!n#<$UdFA-7xMtRczC}xm_PHH%bh<%B{taA5>AH$h82|2vG$DG!x zVn{ot(805e2+d2Ahph-8lokKpdLY=FvvFKxn1k?WoqR)o58|1@Uh@#3u6(^R`zW?UCLm(roXo~9$j%h@KE+h!KsnhX@?uWFCnh|&LaRrXriF2V{ie8L`K89F3r z-K^`x?;A(m8pQrBHGLaH{*<82=+^;Cc8E=8?(+~E>VO54!Lxeim$|*fTL^WB_wlbK zm}sQjF%lL>LGRCt|9UOV_NpcL`|1C@Z^!8OVe!qGOA;kFH_(Gpr_dujSdvye|+@g@e zp?ZggBZ>NN76Qw}EGAt0D+MwYmM>+}e&+5{f4@Lc=EHR0zFD$5NP3#SXkHFX;xQ0k2@Z57la1=lJ=Uz=D|1a|l;CRvHEZqyAWE1Ss<%>*C3 z(wTtTKv%Lt^br)S7h!)W+`3yJq>M^zK&<7bSQ}mWG<+li*Asv4Z7+_`%#=zGDrhA5 z|Gdxb@|^`IBy)i@U#G^@0}wZbUw*K!d8!csv^jHpko`Advp}sp3=gWStt~8C0 z%~mFw0k>ZsboM?X@8o&#$SSqske`%S>OB0unE z(zVe^8TUKziI=_-?c?2my-lH=w1zT+A$a|xnmJN5mGA{dh;Nu1_oCtA{a1@)oJThg zib6Wj1ae}_>Z0J@hf=pwNkkJ*%+~in@t#gdDd zS*C}w*nN)-FBK#Xjr>Sn-(_$+5Khz{E&6ayjn9s$5=G#43ma(Yy$zhuY5Firx42>b zLk7S~rVybXKtMCno8@BL@;r2Z);(m|%XiB>97}#Xst7}=di2Gfc^(Eq5#3>=3RJvs z>veBM%BiZ^&@#MeLs@_W56)*s({sAsdVHq3R>qnxYT>A>jC*=T&ATJtPO$nJHnuea zx2_MFwLL*t0ByKGP^#fAJIdt2Jlwhkr&S3K5*)Q@-C_vgk+uqikLE~RLzIL-T6LYm z=lRh1ro(iE6s);(`)ihk`et!G<^83LI$OwMT%M7sqPYF9g{3RnS}k8R%m+K}tcWe8 z;R2Q9cO+^Qun{WgzFtSzg@f1G+&MgwEN*2u1qWJMOoW_`ivM4SX^h`J!Hu_nr@Rvv zgbADy=T&`_C=Z?Zaqlj&zolcFicpuRC>V8d*|fZoIgz1A%!x~toi0d`u&FS}3ah79 z2c;(;ZVM`Tzd&flO_>NP@85yZKDH_e;>OAYVHJtS%&S=;xY#8*--Eyq|6f!t!YX?~ z|7LstGm8f8lFIbKe*P9!7WXg}gv?&ZFTIdeA`Wg*7v{W1GJ74>ZZ{5-jSdp@L{a`}277#bZSuDB$Ne8dtjZ(w;82Z1 zIeo`Dz#$OUAz0ZZU&UBKpmmd_zN+d>_?BPiInzD!ESmucv=jx$qG7 zP)^&z9o?w*U!T2*6D=@uH*0#W<2D-{*4A_(U%>5t|r;G}Hs`Ej*zRD0bFO-jmQoOIZqc(%9l`%W(b{a44G?$S{C z50|{3er2Qb^tao%T~a6uAv_U!!Q;T>C!3O+z{T`rbsCK=4UCTew8O|#9!U6=9KIet zq-r@LqHR%2jzzD38G1NY#T7S74H2lwFWnr=9hxm5q))gm->?x2QNcJO<_kE)`k%aqdGgc_6U+EPXFyq#L`A z7gn>ExM%DhY{@&Eq}C(dA2>kY8d-A{-lPny1zm73O)E@49skWaH@H865E5s_**7-w zf_+VO4-xSs(J#L1G0Q=47KeYh2L*%YTpH=VbTp?B=nDo^sTiOZIMd)?Czm(O7MoJ; zxOOB7?$EE>GD^N^6k?QYPw zlfo~uQWQlFz8^ZhSD!DU+aWj687F3uz-;8)=Fbw-dJ*|cvD4TvGDbH{X>o7SzyDE; z>v+jZKoNEH>`q|9K6qo|AzDQIu1RkNDa~%f2|YG7RXlN{{90gZ^&DekGKs26Vf8F5 z3}t8J2km>lx>y;jv?rQ0mn-iaF?xgLfWXzDLlZzrz2g5~G49*A?Nxq&L0{Fz=pnHj z5;P>IpPAvN8mf{ZwU14)Vl;Yz0W*xP2xrgF^ji1LG?%Js_qs{2aBuQ=!5teGPk zNasRS0J5D~ATLQb+*lH@@tE2siWvKnIP?UUPBR$jz^{XGmq4n!@|2)XH>JhJMaFRo zb3EZ@ir}y)P6Y~&1QR%SizSeSv8w62k&OC3m*M4UK?gDZq<$y2W@^n;+0K66H*)iC z7K0^EKL{S^j+KsZnr0iaTvJy1QK!*>$NN5fl*DFhtf-xy?U&7FoBk+O=Rmi`<$DhK zFO~`2HqP-!5@9*{+dNX!^IpFM+BTyDJ^%8KS&Bh}?;P>D00wR&9H(MM@}lPI3x*YJ zTGN!n=x*FM}A))ZQ5QwWEDtVa>q_Ogr7C+@w^5u~o_eLG-UorMS=i5iG zoO=lih}UXy9c>qI2)?BP?NqH^AUm9GxW(%?JT?F$e{(l(sebv{i+dHjvGJjkI@2oQ z!*gs}cODr$OjlNwrw=n*gX)rFvz{iL+}Ne|q??A!F^QfikV-YXrXFX0`0mNgcz+_hp)?y~@QZ_P{%eelJibjCbm)n3DC~L9nI(LXUaHi6Mn*>Z$$un0 zlv=PDr(CfoAVH$Z@+L53#ELU2Z0y8d@?Neh4<^=?Pmic(!`m@~nOLmOIr~r?r+Zj788wDO5+KSfxq`*=j z5=z1bXOo$IdzYBU2y>;=e!Fn;fm{4~D$;bVxflWMCF`1Nc2rsmpOj|VP-T2k;DLA> zLN{~VfE2{+L2Lg|UzKK^R77TU6vtRRM_0V*9+0{nPk_+&A>?12;YFu~ zG>?qw1R1x9vS)x7bUcX?wstghpf8QVoxfn}$FOVxa}OZyYp6CE$f`t$)J~MicJ!E< zfQ}ETl`4l%73g^(YCAR{qA1SERhr&qejGD9HLanuNa`(RGhIpW)^i$9ypJmImi%Ks z)z9dnuRL>&<{j5#&V8>S_`dpuVt1=^9k35}9S|ZGm4yBuAHB(wU0*9W1l)18RN%k^F&2V3?$KJ!0$CJ*C?JT;|r zmh`}>Ue>8bxWz#W0fV3#!Wd!eo4E0u5WyU-E`#wMQ-Pmo8%j$BWs=oAMm5fXsWr zG7=dA?y>`*Ppht+XI;7u*a*zEf`{f`*9#7xBDvE-?xt8PS&D{%tFnTI6XCPeBD%^C*{Hy4V(A10d|aHZ1bm<5ujTo@klz?MQ>psGaVT z*jhMT;va`a$6l)3R`F!k4!GE+K8@bwF0>XveTL}dzf-p@oQJlP)dJBbE-7IJM2$Q0 z>kMAY1yvwpQwemb=RtCgBC=5P+>>FXL4@!JkUw!M5JNz+a?8f6R9oe0HLPxT7rvfj zZe?;>Gy)G(a%qd>AE1aJG3AY)S{uiZc1)?j-5k!f$_|dv6!`A7BhM>vE~rq>bGC>c zt1D`0vB=empXf~zt+VT3g5kn&cvV5aXwUra?2B!WJ|lubnhiAj#<8)lGXd1E$EzIkJ&s4uW} zv&Oc$lvJKkcl)#Nf_b>|M7B#)(CeRS>Mv^@`e=dU_m`o+hCN0WMFmISChAY98qgkg zaES%~?qMeU)LwZ~&Vj?i)|pKAWe-xQsS~VXgIMEumuv`)njRxoI|!kfPMUPEw;;u0liI&RWLiXpXL4jq>7Eb)z#m(za)xmmCyxQkn z-$*^M5H~!(9C?Q?CcI*aA#^y3uO%k{dko=oF#)MUz60Z%O{L=>*0IDe#~Q~0Un@>d zFAp{^gyo*FHiSPTAl(w3*zl+c6*IW=(=IDGpuUjQZRa29O2;syN!n{VFWrYxhTgp> zJYBdG^~6D|uZ;8H{Mi5^9q*7}pLtC;`3S~kT=4J_-I0HDTIkq`@cbOoYWePkU4b^c z6bbEvxXp2638Kq$KkIIOsqBuftLp`V_hk?9zj~gpl8)62l->uituHgbd4y(sda~H0 zyb3EXKQOdPKM)k#XNXjs83^tBqALM=EI;e5QPwNytM^08u$IT6(Eo$cDkWL&;7@!X?x(Vs=h&85kPEbGdMOt& zz9~qujxia6juU_g?QR6^6uYu_LL9k&U>!l~ZpOruRzEHRi%EjC9zzWH$2MfFAkb@M z#Jvz4G?8h-NqvG>(ynx?m$px3u)HzJ6TsyC7|*KQlrz25k{TY;vMqG%C1R=ni=@WX zEy)DU=JSt5b52A+8yEfxzJG6BY){n3pw8U#@tXx!<$mAA5#a@2@6&k#p3u7;q*{MpaoUd=Wgk2ZDzs(8fK047K`}y-1 zxoTo*Msdx1Ew3Z?j;ryl3DYs)rqAdT>+0vQBI*GEa3_U{rKj9? zE~L>Ddj2WSZ2ud#KgkraC?}@^$PU=kw^gNI2*)#!&;J9zH3UY_GJdK0#qj?Eku|u+ zU<+1d0L&{#5?BC$y+P(x3&70jr`@&utHO6EIx1UjjQd&wLH9g7JZhPG>5Q#&JyGVk zZH>o2BN{XM54=}bI^yKBHf=2}EuR-B$R}+P|8>dq#}Giew93id_LG$3|J?Zo@?Ric z!}I_BP0IEE!s-He82>l2SxknZB)_Ic`m?XOg@s39I!>4G)u?K0t~fnA<9`av&5@&| zg_}W{bkuF>;YqE}$+V4<;odA*U!=L5=VNDrLH_wCp$6BbVaxoRU*C)WwYyI@6+EVE zd$w^C^R8|fJ%>KbMr-45kd{`AWB->&^@n5EZrFf^4i${6LJ{T`UPewUop3=I9ii0g zC>_~XENid$hdO}g{#6EBq1$fk&PsamR{K$r=wxH@noI$iQ+yx_X8gx4^H?TWDxn%_ zQ(OC+kOibYn3vLesMh=&G*n&Ks~@kEKfjrGVzjQ>FK01VaCzQKJE$gFjcMtz=Y=Jw zn$!n<%zsD~)eqwsGIa7k>lY>stt36Xt{Xit@LcNX_*W{>-h}!}=ra{s`gw>@48=3A zV-D4~y~GMF3+Th)O}MyGNKHh#v%;wRhbD0}Ll$!M={;GD8S%461k$CMo9nusF9H6p z9c{)sJ{_D$A4$*i-uh5&4S^`%oAMua5_=x!EgfZp{aqeg7@vJTm@2JhWY;(w#i{nx zDHHT$+e_MMkge{}U9MEtZQ`C0wQ|DC^(jVP$Vn=#rjxr=ZZ3})o+`y(D`2D2F+gZ;As!$$k?L? zh;);0ZQD4b<+MkNPIp}K+LH$!g7so6!whM6djOjs6c`(8l<1rG36}xV5xD$6#rgOr zHU^L9E1px;`Fo9E3VFB}x3O_62nMDEgFv9yPvE6?keJwa9tRIJaLgG!4^zgj7mFDN zNS4H~%^#EcH{WOW{Vm29+Y6n++nwBmBxvY;RaI5=ictI$)P3WV=?s(3}#73XR z+UGq93-n+dLp$iJRpfI2#0Tkkd?x- zsWDJf$0hV#7l^5K(6w5kBpQe8r(557T7to!AI^UfVr-0Hv;DIk0MeC<$C{5o?lR!R z7pNDDvBA4P?`}z^K6IrU(bsS%jo|G+034-dwHVMc|U^d!AM{ol!DQ3Yi5Jf};@`>A|Q$I{cY4+{$)m@DmFXbshH zUIMo0%m}oYQAC$h9?HK&tnHq4vDKa@OYq9yOdwX(pH!bG-)Hh>xY+imL%`;JUrt;5 zy@ebNHVKpK()z_?Y3&H;qwCV*;3ZBDTt=GrJs7+e zxIg|Y3-i{xVverd_woO^*(Ie=3GnK0NNwAhL--GB7)FA=s?_{z zqlnQ^aOVzPqfHaNk_rsWZ^NGLJ6cOc#6Gkv?9NuV6?)*RLihdL#n9vnpN*UTmGKD8 zQKQm}=~3x+4l7--&=$LFHxt_3rl}GqT0kL$?MO*iREIY(v07Mo@$6)&hEqQ+__+;N z=jP@<+b`BN(kLL^3h50t(t1w3iyzZku3_#W3ElJty0`~RKQ z`%Mn8L9ouBl>BM%&qWb0F8XrLyP{Xl65fLUH3PmIXal}{&~H=+{+r;kP<$0IJ29d8 zKKly!H^_2V4x6fWkm2~}d!3BXot_!Sw&$Q@+cht?pLHXu z2L7Sb=Ds$PpRaMpsm9Fw#G6QZ#*8V$oP-rvBKA*C9H25v`9*yAS$kcv0I#RD-k|OM z+BL3g+QpB1tJ)r3*C;(EyVHjmBvQ*U6V{Z<3x% z(HD7QgX9`MSIpNS5W1yq18G?z8?1lp+sx_sb9V%P8uFF0TY^;`5cfFl_vQZwT)v9B z?f1ADTi5^DxNC+?Z+4g8FfMozj6i2g{^{tB(9Rj>+3V715-{n~akiyr!9@UX~!w z?WT5vQwm8sr)4z9FLZo4!1@JrWXrqKC`%xxl*4CvBW{1Xh|n~KR^?x2u>EfoY-u*@ zYTy@CuSf7%qF`OA~lIygah2J*V(mRsOjqFV_9&$B_ zdO`Mw-{9Z*Ul&#`J}NPBcXKcB&tx z7Me^y$dJ?V0}`5Znll?r>CWXfs6h}YQ9#X`yPFgk5THcG>ZYJE3jKahJ2VdVEtg%y z2ancE`3nB^ML&Q%eTsko1e00eGI!jI-I*%s_~9E*nJUxYC9zsg_c+#=^c|Mv-;Rl# zJ3TGxZs&kC51&0%f7&ljTn(3cF!s@9dCmGlq*)=rqi*v=C=yaia^pK9uzha%_Hc&% z(Z@G;%k6dbCQcqDQ8jT^{?bCu7IzRK<~yr(zF?z_sxbP=!BF5Bd;gxPr}?#j)KzQw zC-~qu&oXzrz|=2)umJsGy>e|B8P$h~*3FFtptO*;duun(tpM`hMeL4Q~aYN>= zdygdJq{#Ew+qhy;fX=%uSR{7M!HSM zQev};=)qDqIyJXt)UOvb8~Jk|ZVsOV%|j=?(aonvGo`t?LL)ilQI44D{N))`tMaW* zceF$j$G*x{);*zdGvHEmyqq6Ct0=JRXuTH1`hP(byN^BwSf&={!m!Wj3n-?4f_|h| zwfBEJkf0}+DHf*Q55kSc#l=N$ywJQedf}U5V$bp5xmE0vt3B~2>DwxFss8=#pq@?k z#Go%uc#KZFWy?_0)a4^~pWME{?fBtxhD7T|)}5jMP@UI=btSvgnS37id!V$=efN6; z9mPg6m}_l*cB*k!Mt-QA&h>ez5T6Z8^(@`#%hPUJ4ue~=%u!nXisr&LG`DnvR@177 zZiW*#9$2h}c>+xbt-|AoNQI+0t!q5(0O~IA9Z|odQOyoWXGCnbSjkAWgmT5u9xZmpXV~r?}$*3J!8Rh1|S#hCik*UzuhK^5O#;}_y7l# zutHg-8*Z6f3xBN%0mW5lEMfnuPHnrf5&Lx_-m#v3tV54ADg8JA+-uQjrYp3Of3h|K zm7DrYx^Iy=hIF& zvo%@9X#(73KfFzm@d)keg8Uz3q=d65h>zU<~zZ3>#yQ3xtE@r%XwCYgDR*O9vl;?HR1!1 zK#O`LB1iIKSm$)iO~UCGH7AmQ8$=8#kF7sli2P@*B242n?xgf>@hbVb}euK3;(kuY>b3W-`=U^H&;1|5?jBl%f}$^YNj-g*sO}Yr@$* zt1o8G8L!oNN?+?KdSwGXkO<@O`F-~HwY{UK3mla@_BWJ|@Ajuk$IP-$dJw%7t)qXi z^Il99L2}L#^|C*uMtz$ARBcaVc6A_<)Dy)={P=hA_TjWG{&-?`dYWhbL%D{kMN*5C z+XZ}QT)8E%XiPpb`1jf8pfpF4(hs~2uBNm!Md{C-5ij3q+)2ls;L{{RAER!~`r@zf zzUVf*@zD*&>@7x?3Oh~c8u&Qk8C3(0YCQg*r;E_a z_<7l4R%Q>`V>@^r)>Sw)gyqdriU)Apyshk|d~ITWU0zKaQ@*3v>Htv(Jh}XT4I=qA zYYo%L|HayyfJ42$|Kp<+EtXTA$a++#-CFjoa5&2vQAVg`@Rfj z#6hwQVFqK2B?g1RV8*QfXQ*?|XF1>N`@MdDmn#<;^PcB@-}mcvzwX!bObYiVy}!kU z{d{KJ>jT0QpN}^fDT@!9jCoPE>-DuS8?Lp8P~B7QX3^+N-9J_tQo%pN{R zTliAXvG3_G^`+XFfb?D2^5T9FC-@A60d`-dN8Xj(&k{ioBM(hmxAHyziEStLHVHcz?8{$-#9A&$r_}_samMDPlgj~?- zgMbz4AqEd>TrGvnr4RyqIfEpd!f3m&73Uu>8Uk5508*R$U{i_EA>D6}jiN4u0OyhW zd#LxP4RK=?qL6$p`8wF!=w^+*#@DfH;QCMBqR|HG*;ZbdQoAc=Jo}*ythS#+Zhd`g zojv$kNIuki=(TUJ^ZiS5Qg5I?mJ(v$bVD$R+?MPjE$BaT2*PHc&!2kKW%iMQw%*A- z``&Dsw>|3mhCjm>Ahc&uUk({tu^B=Z8u+=)r)#GLmBks!E$gZgM8xKN;mZ$Cqns?I zx>`7GDXwlxU2WB=U&?UUNm%8b83SuiyIa#?m*Quk@O!36hL-G~)&BLDZDM+J$GJ~V z%U!azP9ASde&1K@A{KOI9O-pyYI6Te#Sey>ujPrM$v4yBPiIJ=vG?B$k^kCb6&gk- z?thVrv@K|Nop5@OS=r^;XVw?iqFnyy) z)CmO0z&Bn-I;nZ>rehzxCY5C)JraLW8F^hqWK1oPK`@m9j5gP-HE_!lRyQ ziX z*L<%?V3xqFF{lt$cK?AB5$RzD&>6@P0Vva0|E$bX?o&KH-{9^kfuTD>mx&19ufACZ z*i%{Z9-nnG!*U#_nshQnKFU*GF$?2djriYzANF@NV016=K(uwvLVp|B5N=|0eE+rw zBNzw8HYx24m_ zS(}P_cB@Dn%iggVqgA{SLEt@oc2#&?a%G#K>HDsR+LV<}B}@@)jfZHz%#fKV^yq2bPDT#;X&7?gjNf( zTE|{wEBoh!{d5{I79+{TT3mR+m5UW$S=EBah=R79qU_U^V|TiChV?7Aj_rT^gLxbe z1t}#T(k;;6PxO*rB+9Yd+{%Ajfp~+XoFcB+=h@V@&wAP2%l1D}bEm83xfgxcIt*9@ z6k=)9*QxdqH@C+8Am;B)vxm^=J$bGy!4JQ8C7W_D~&rA;YQcQ>e$LxIn=&TyL zx5cI=|ME`n7{ad1{K{*#bURVjWEoq+IF0Z@`7laIuD-&6l9D#W%6M=Ckl0MaMw##SqX5}Ggi60OU z&PW0VRw!^Xr9Sn`krRW=-!KIh2Ze27zz~p9(1gSG z6AiUQ4~h87`de3c9KP4`Ffxhyg%_ER;Cd6q}n@y>Je8$9Jy!vkF$ir18=2o zg53WkC8$v$!o9XRG-`fVzQFY={8-VQ8bQmN=ahh58H@{+W4ZVtm; zLtpz?M%4CHlk+9Q?zQ@Yu_){RVluYR$bH5$`4< zQhG!)n7hLMJcs32CaML(t%FJ1VCb3_)9IlG*y|g!j^qp}-#yp=rz{b8WeG9|rz>0d z`WBZhx%bQs*Y^ZDZxFkXKducT{+s#wTEt|irAtcKxduA1XwD4f&DH<}9F+`mbYpN&C z;T?>+Xz3Y-(@A>YQ^m4Cz6R@H>hdRX{ZRDBdNIAnh;L6$cjIuGL9}tO^+T~JQ4!5k zHPPq%@pZH1I!92cI1}T9#au+Pvvt&pT&=0VkP%8J28#^7eQjl90XS1dWRq|eJWkSY zLhUa84y6rC8d#P!9Fr!D@KGbd7pOM?Lau07SKvLtsHMT9{5t)CiOiBB=iQqEk!^yf zWB`$O14R2>YVi6q!?)@$P&=*2knR zs*j5?6$GU8MNn<5%a&XzWnnP-GTzhC@2A#ZhonbSUH_nD+N^|;iDx(meY(rf*^w|z zL7iVA3A&G`xXI7bt}F#Mb^B-J9iA!`~0{n>c=k2~sgcP_6PyDv~ zQfrb7?rYAIJ=aTI&m+tH>EI{=Iopt#(x=D16~4S{?3?=2sASzx@MbsL!dcD+L-QiMc7Qs)3=B7tBb%>NG@x0zYyILVDb)C*7q=!WgTNyHO+fooeq}uC&mxW z>sUtCO$ePVRPwG0Y8{IpF!#E$N<29IMWtqNeNLgmA8{30- z-t@A9u=}{icCA!XiS@qYr>pE!Rci)Ft@&;7o|H?o92_R7dAyXBLm2yT3|j6S^6^bV z+4AKu#fXB^G{YZpe(A&Qjot=GJkA6DMdVu#vgL4sbjOv%@=|9vBr3#rYPRhoz<2?g zWv6JEZ-ZRYu}NGOjwsx1b@X`i=2$UWuo|W;PoBa&B5{AIc(&z*7ae7%y#XQO;Iz(q zeVys2`g2s@?H#3uTV`S6{!0hSZ9Mzy%vc*%QV6hZ#(%Qp<3Z*kkbs(+6V_EMaA-si z-tgkKe?JDVC{hg+`kEV8aypsm~%+Cvl!&91TW0SC!^|G0Xs2Qr6&54*Q97s|m? zck8ME_4tayX!*f(2a`=E22*F?G(+@+<*Cx62!UQ*_{iw5^*U5qdp+2(?>lGl)NxMp zf`9!P*1Q3LlEnl28wdZLS`N&{I z-G=%*&AlQg%wIloXyMQF46ReN0aiy%v*Fk62Kl3bzEwMnxn|- zjq&s95{H;Mmd%b`JAIV?4<$>-U(cxi}OJ?;1i&9!tbDX;D)zs?IlK4>(TQ3cmA7Ptd8tFjC_Oq56w?ZTHzv?KyZq*Kdb6j(C_!~-RP&l#@KGU&`VNB76 zH4!|aK4ose@NFFZQg71M=urjtE1!JW+M_HR|I}%pBf0_2P0v%wIWxsLtHIDy)P}4_%9!47rYCXkrm;Lw<9ltEwjAyf zkl9ev;^|gb(FuyZj@wvn)9;(QUZXbzin--|s7jq-w%4Wqn5 zS$>Sha^%&rnXgV`x-+rZV^OjeMPQjsM_`$t8JNyRmJoYC{_tccfxh}-C$;POY!#(Y8 zFXqjFS|rZyqrI<(8t~fQ5jaEyCtw)qUo1vW*@~d*acs2w>C8{C=m=#@de`*T`?afkairR+>`-T)0EK5-qkV!L(f zER>m1a7T(rIZZp^vR0`XR;UP$TX(g!Y`cSkMBbYZlxSe1FQl@TJf?Ds?gVXBSSjJXr~qK&oy`;sgc-O{mt=m)6TPdf=o#hDH!K^QqC{#!qMJ&tQ4#K zs&n3JIFnO;A^@^}>B!C)Zz}Dy0~#Y7LNk7arPpIUxrajish28v-g2{sDbYe=WCsMo zP^P)htY~{hb2}-gUYiV?90zsdOhFP%4p$8~*M@raJJOa5bBXAsdr?0Bwm1q0{R^(Zcb|%VLpe=zUDg+*os1MY$8S zSzPP#F}Cf_P>uOlMFJlEOVz$jFE??%OqaL1eNc-lDIf12Bnz|Q&057E z%NPF@9v*GZv0SvPzB6`iiX)F;`)CEs+El5jbebEg*RTm9)*S+qI1;%D97vGRVL}#>9Rep5ENcN z{^?7I!@|k;Sa6!5tiQj1u&x|DA1j&UxRl8Mbo^l8n`7YXzO~0C4t&NA--)rDAdCx) zwa$CHg^s6@f>-6OPGKEzH;JmB7cYA-q{SU*nQbAw4E9Y3 zz0eob-f!TRI@ad${igfE!QPo@Q$abyzJQlXVn2n#=vTGi3sD=+D$D%szKOmdj#dU~ zR=XYNU%%uF`837|i^>}aoO^55j<^GA=>h47MM%AQn*)hI!{Zn$J-$^iOXErB!e4lci;*|;8hf=IJ_;NVEq=0=QVk| zLubTz6}=R*`^ zSyf-OuW1w}AT3NurYeLpgsMN$bvkI+Lv0`yimi%YMzr6QJ?PlC7HgCnj%OOU<5b@3 zo|)`hEU^}@H?i$i?u`_dN_h?KEM+b}hm+GZpiZ!}bqky_jQS~1AFzbuH} zkl%#and@kE=2d_`Rn;|Kk*V{w%;QGRLp?;F>eSIs9vH(W4to`SKHT)Zwc+oOOf>eG zEsiBiS2<}~_W78``8GKHoBnGbM5H0(`gloR`kJ8!vPHMm!)|tP~{((4&}7B zWXLAXKQ6j8gufZ>a$PnkKl_3$tm$^20ZM8QKl&iAQJ*%fG7n)4&tgSkP8rP%O`jz> zL0&DxpHNIJ{VUEV?ZOs~+T5Hj#+@BxS}hICV!PH)0OWavl@Nkb4F^JQBxhH>KiSI} zfxnt&#FDt(r>QbFm{3}vmhbqrsj{HdTyyiFQ2dFTowem3QQ2`1!X;Lpo9X5IyRo5Y zz&|5wb!Jv-N*&SRb24e)xVO3OAd5Ar9_mW-ahaRZjThtS0WhwM+`^Lt$Xek&eDam) zu71fIKfD0%6>KWJCkon|X6?*G?v8@;rf`()aoLW1I}vXGX!R28Z)rr^uqABKh^mF_ zf|aAVWp>_ePAlCgaL!w9d7L$j$H2vxmnDWLm3ahjR=W#;Re3qRB!IlTZJ6@_48;Mk zdrwd%pK0DP8Zrj~yF2=7dx(4gO2k=t$JOU}ZK$zTz;XBQ$}V1o@&;8HiCNr$nVM=EQAA=9Bt zyq0ETyB`w(_*C4e(z_g z7^`UCcFNZ_T|vJm_UaxaEAsxle%F+x-fH*}%7r}LYb}k16Qhlxij!?tU&9J@|}cb4oY#AwiqM_ zuC@~djj%ODYxSo0@%&6h*Pld5mDtpq&n3Jx!>Rty~R8;4GUhBAhuhX*Qs zhuJGM{?}6*t{n+hwyV7J>k>EKkgJuV8=y3RTGFzCQ*z5+q63Q8Wn1&uzqFERbKX23 z{J?Ja`y38O9AM~q>1T7fE*QC44pV+J9yAzN8EtI{5ru9;ve%3HUnGcLFIY@)wpi2c zt#LBi+w4N;8@$;JAII+hTS3+dcOc60`ma>d6E-1?0tYsQu8hz34|^r|iwZ4;Ju@>?P+Z(an4buNH+JxgEx+Cx{Tp^BSpRX7q#+U&3^^ghPWl zU3COIy^zHYZFabW>ml~fZ>0{eg;Po_`e(oS>CS>u*vExYf)*Wmh2>{g*Hfsp`u`A1 zp_nmKFRXhqU-$uE+p0F7-_=?5`4;HchKv_n^RD+hs#QpO(-MxF+?p1ZiB+bLiD;k`D zGZ=T8L^&G8Z%SAD((mB*W3ej+cJE}C?Gk$R7skb^th2H$Z9#d&_>JxZWyvfR15Ojq ziD~}PnnnU^J^1KI|KtxL%Vuik#fqLMR^u98;Tp=*SQPJXpP$UFM1%~J*vW|Pat6WT zCxwSQ-<%#kqqQw;$&d?nd>C@vSJp5rwHE9TePOhuQAo<9Uvfg2=)3gOcgSa#__UxD zgR17eW{(=o9Mznmfq%8RM{o2QtoFrGw|zf1xX6Chd>Rmk%j)mlk88;KZ>^`%fD+fPV<}0%XOjtn$?#R^_W^}CA=y<@A zP=97xll=4C7=yf+hOok!Fd6|{1U1^thSO?-gok~EWh@rGJDO*Ezj?S4c8U;3pfR{7 z<#4+Ma#7P;{jv*zua*W{P-X`;49(@OOU}RYG*m9WXKR?eipQ!`puZgHd*k3nxje)9 zCOf4$)z~^Ueeez%$3W9i5ev(SF`%B}Px{9S4LPM3t0stq)oSU^>12 zNeXAL?%m{RcH8Ej1(aV*T6yVb-^P5+zOlsb=w-KzqUBxjw0*Iwz7Y02A2^h>yW6D2 z?$BnlQELK2`nLZ&fZ091zEAu}F-a{y{iD(p?3%M;QiSKX4JF2Pgc6VYtA>)=-V1{W z9;YE%K1`CY;k6?T<-u2%tM&~U^pO{bEiO}ejM4a21u@q;zP@Ko-qSoBj#|Qs)rA^} ziP7Z)J}K6n>LroH92~cyOH?7H5DN{&69doT~qSJHERUe|3CbE&_As^AXnr2{A75ayt9vRgUo0+pCUiJ;L+i z&hmRoY5G!SWlH8@p+wsHmwkCN@a`a!XU*S+z8c5EH5*~8#Sa2GTcacBN1Q7+OPa9H zle!MJEEr*t)#&N@#Sq)o5(fDPld|=s_ZpAX$_@SAT*&~jyNrXSob?Jk**tz&2I_D(^GUPs?ejkjn$g??{J2o0` zXyCVdj?s)R_6z!b2oT*mK=nfF{#BMW`XS3c76=9nGoyKA|9P*7^c>Q@GLO-fUCYc} zMr}s6`L;OXdsV3gmzUVaS=?zTN#30DC1k+N#ZUyH#(MUZRVIfglV4RXv#uiT5PgI; zM;>SH4+#>2M{bPR55L2G7J+$ks_)`+&bJ5Tlg&93z%VmmA>_TwHT}9>@3qoV_59?r z32uzvw=(rp3ON?|kFd@N?Z6+1`ITos@pXCGzqhY%awc%G1}$EfjuB*w#`0XtCLWDak$%{z^e!0|#q&_XOEd_CeQn5M>MtJEcC%OHA6+jD_) zbe!JIhvOjoYdo~HXKX&0pC4ush4)5M|R+`)fvc;mO-(3q>u5SSc?IorCKwg#(<{T zT4;667mA1qSQ#)MJZ;3@g?UK+$kfK+Tx`H*ibp}FP25VfdmeD&kCk?OV}7Yp&TfP; zG|x*=XOxinSVP~eoKNW35E9-MZJ&cQA-q zX@rNZ%fF`gdB?5H_;^+6WqF$s-ik|VoL}K>!&J`V5ZmVoLwoEY*I*kjsK#9>Ic%Va zC7GY$Ryti~V!$iv@arSn;Sj=Y*3=+sVKo+ah}x#W8{VIULAHnW0|B&f{26l6BnMxO zKbG8fowaN5D$!Qo;)-GXwUtEk0Hx%>v_nY8OCK)!+r5N0md@*%JoD{qNbz4fs!8=x zzF?>2$0cR3Og*7XzBQk(|Bl$HF<+c9g&nJAji~31kONY&WzO*?$&^eIA;=KC`v9~D z`yS0^y`Qci=YG<_g%U;+N|j;;2OHho7GMm5$YQ!O&UiV}8J{YQAccC#o%|rTuBpC^fiIFxY9>5m9l3sbaJiZF#gCS$Up7HG zL(uTbxu4L0OID>|a(DxKN~}r9Q5?h(Vd#`Q*DFm;6Dd3Xt6!{L4>(Sj9YQ3e6J(J#6n2(ZcOkR)%FR6=8}|4uCm-n~aqEhqa2L0!wTMHWc@rbn2V;_s;f(w6 zK2DPwAvBIRj~lTF{Y9;g^f~oCatr8o4J-Jq50IWtO+ue`)wSi=AEU6;Qd5Fho+Am| zjM9W$x4y71QA3i&3f!%8@edu^%m+i?akknnS1_b{2M3iFD|VOGqC#iQ;fBwHyl&V$ zGA^cK(7dW@3VHI5Oc$!|;eh5JEB?Uk<(57XVz?szQ&O+Xi=`LzplT&#k4GG*Nc9LU z;I~oFfrOq{%P7jDViLd59g0uym4*Hk=9W4A1>ixo&Fujk~__tTaXYT~5r+ z8EhA#V;0Ez5z(}{KG5RUVCi+7=nyk^U+{_oskv@K2~G^@O4_3Du%;$&Y3R<%!hCCR z|Abxc0wb>;opINTh>~ruU^AqOxx9#dD`Kajf0Al6oQ{!c_v}kgqKSe7M1RlApnrNi zXlBLRRoLosyN7PnL*Lg!_Hs9@AB|pbgI8C}M4ryxiXg}|9P@rCvHP%%XUO7|)GM=B*SVvj)$BC2Lz)K`bg<4Zd^dxpeG zRq(((H2kJ|WmHvg8k*;k5ra|8p;?Ogm{QuCQF5x@!Wgq-vd5ArJ&!`5Q&4l4eB`bU zXH%B2E2#2s%Wr&r~`HC%!PQB?i ziQ%vDhMN`cgYieC5!4J>{X}|Q{%&^c4(+#h1|7TF<@K)CwX@ZqYM3^zOlVun`iw;diT&zA^) zlx6q3Ji(qv1iH2(Te(i&hSaH?l|z(LF~j;Qc4GH?B*KW%DpjZD&#CO|A&F-!*vK3_ba~mJ^$mu2 zoF^Ra5UaQ|rnQ6KMKahLU{E8^ZNh}fEsr)mG<~v_&y`KKOnvplRw-9vim-_irWX3A z-8+L5ddvfIK4{<;&o8h~aqo7<8YXhi20AR%ZRbs#AH3#|9-)t=79ZhOXv{heJk04) zBkaRwzgUL%kf~F?`BMh?dHu4imBUr-S=}j1$l$5!L1&DwbF6VHp70ydIStdM-q}b# zZ;iCFGOUj=fD*}*%%d*9W0L=9s`#iK%d`GC%X%{va**l+R&6iaZ@H^3zw(?SjI7H% z;(kN1q*Q4XiO*&P*^zzy`y8Lq%T=R5&0iRFYnhc^z&vS*3&Ba{&=UI>D>Ub;j1mdS z+;ZO+q?#mVj$nVGtFS$sgD`|EhjRMzqgO(IlAD={{?SQ@vrrg}Ff?sCI^_KF3q2XX zx3?HoM;PuSs?H~?bB45(FDjvUB~3d-vJ&)9_cY~JWumZ?r}_=IvFjZezLrjMv{|oF zgAYZS#b_#%b9szbG!;@13(sSbZTmGm@`}r#UN6-9#wfPeES_PZ{w5`tP7_$}w3Vr_ z%&RNaQ%rh1y83+#PLdbUrNg%CY1HW(95mI}^Tr!eXtOQ4_vdxme5L87OCjCjJdvv~ zk!9xr3r^MfXO3Tgq!N)VE7%w-xU_7{iA-_D{gDJEkKWRkuB#Xr*!aZX;N=r}w( zEI$yrl}ffCs!lUiI^eHHQLu!Mg=Uzp#W9r*^YIFb4;Wh4?Q2Bcf@d3S^&3NyWpnuK z4jI2MjC$KQug!<+3GgSz^8qw z;qjZAZZ0r2{G>$ElM7>yBIK29B<&!2_c`^FrLbGgN33`)Xl14y^r|Cn0H%)w{sRB` zzAOH=5+A*Ks6SJm1&8UdCWi)_U^p9TN@PK}l7o7s$=xE%}`KA%m-c1fT((r%k zlerBttnD%om5b6wO6c3a??l+VfbW1`ux8-|s>3KZe!m@!Q3aITs#nGTnWr+Lc%~ic zdtqsp5q})`=R{DGsl5>*Ss-Hccs^i)-`tgb=(_5X4^!ROHCfUxxxbEd=7o5mMzSo^ z0Cj|*F{3kD;=b0`)poc`-Se{zaJ{DOq&f9e8L`e&(L~ z;=j%%64inVD_-97%i1oGsEjU1Mf05Iu8c8TWfr`D=@8bMy!1TCQS#U1PT zW}dp)(g;d(9EmYw248IdwA7**AAQut^F`J{%Hxi;sBrA;Q+Qo9!Jf$m?xi3Hcy3G5 z@1h%0mzHAjrZW8ogOkz{VhAJQclFTK-w%g%SP9MP1msNNoKx|}-5XNqON-6*UefQgCuqnOppcoYkfyk-1Cbm)wl zpntCm_}OdUm}TUR{nPhVD0G#@no}S!mUh+K;R7?0k%z|vYt_@dT*})8z~8;ikbcm< zX`tHySrw)S9;ssg%u|UUPHApA>RDJx@VSg?Y$=Sn-E87$#kULApHXu5eN`_!o_7yo%IZcWo zayctm-Gtf^t5&;Cj7BZ;j3F1;9)Xpc)Iz~XxHw3_l^h3%A#b3)!~>>57p?j=%$@GUZ;I&xF* zBCA?vw{MMu4zAU;@brEa!KEWx#74;(iY!Z`BxW4}e;YiBYW z-S&%m$Ws?%W1=w%_rDG+uU)&&M9%ua--)pv-6{SIhnyHyxpg|*U;<>gK73)W5*|;i z3Hz|U!jq9bx;E&vl#q^kjmkP<>(_2EoDX|arqq}LjD$wQW+`2oQ-ZjawOqS6kf{La zzqlw<>+{}hP^){>z*R=_G{iIv36meaIlEe~B=-oYbUZ8?_S(B^-RUp)F_#J~jsg80 zoBpN=%U%T>6^(WFuYWez3E$H!-s^zmKMH5lnAqiCXDVFGeMwxi^FdqG(=55#H{E?CI3Lo2)bKi;w3U#9k{rn{HWl*QImKq2E0OZk7K-Iu_pjAJb8) z`xn!F+YfJ|>`wRmzW?%g5SUd(OLEsu{`X9j=AM#$8Pl-)0?_`VW8(s#`@G`I%m&M+ zD$C029#F7FxKtKWbi3SnaP;MdC>=y@ z49r@hw4U?|$bv_1NmiXLPnhZRCu6lU|H(3`KDGWzaCI z1lQ`8WO4iYAwG)%lIi)H=RZZ>b|t=;XC+OeTSMBvX&9Hkx561Co=-yB!92y;XsFt> zUClO4=j3WchNn+1ig!q03>3q64W zRLwjA$(%@ypd@(7iMg~795TOh{q^B*YsI z8$H0Mj&0-aqiz>m<3C1tDUQCLG2d0AajoPgkWtS;M)56X``9LFT>(}F z<j!shxDn($TZgoMAi?ibLPI0G!Wj@kLwVqI=X_~ta0 zoIfvEd;D;gKjd_Vc*Sjob$N&deYF~OJp5bK-R=q0_E&AXeW9x4ZL!hue+5h7+HiAi zA@9q?b`nb9dll|j%=N`X^V6M=;P%iEX$H-MT^fz6`Wh8y#L_`9+)csVg)t{Ln35fI@kuy(%+2FAr> zOrv~VPY`buekLj@3bqm?aFMV}rbJ%|IBI;&jcl1LHt&h_T;&o}@5LGe$6I`>8Q*QT znwhPIs#jN?$)XDPhb}zqI4|vpxO=I0e?dKJ;@ZrdCYbCUT)){-b8@*zpD@eTZo2x9 zPN?-9^(3Gy(?+5vH&AZCveNjJlP?t)_f2=uJAd`4`UZC;s2cp4Vz+#cDDTaVDgHbR z-=)ldgdd+}>Y5o!!~p>Ct^se{Gn#I9y`pN`;L{LeEO)KQOJ-dHXfd^XVPitT`nEwxoAr^P!!c-MOWH#|I5_nkx*PUft)r0>mn|6z+36c0wEeL;<9{+)(4 z7!5iT$TQLNYCmYG(ed@UpzV4gpR6ZSQg5&tW{VveTxXZEuFqlp)mQW8FHC=JVloZF zC>I_7DW8tVods_a-{X>FcxThG8fq4y>j)*o8up#NL%tBEuc(^b2fU&k72RSGpEurfoesN1 zPN`OL;*DY064VHR)-?}po8?&ud-+Ak$8z8|*$=F)Lr22&nFdoi7X~E`N>~3%PgqK6 zCOqtZmGf&@$Q{E+2-~?{-aLY*S3TdqY5iI-UF>Ll1-04n_SgE-iOb6!Rn44V8|u+c zy|2pQcdBv-R`IY;j)u%h-j0(18rDjFdNKr4$lBgEl))S=d ziEEhqwI1|+^(T0p@|;VIF=a&19DFY@L4>fm498vhIX@U^=`{d!Mx1-FPUSVclSSEO zZU-sJT}Z&_RqQpwFu;qwuGJd&?a$0QAyn&Jr5An1B@0%l^z^$6Z|UUFna*}o+qE#M z?SKLE%lD2w)xf2?{;1rCYa*Koq7tL9eQr15_=Z=`fN%bp#_+!3*R*>BY6|&AC)O&L ztqwTJYzFQp1u6fDo4LVrp750JVyi4Q;5<{+PB+dgPNUqA)tjB*WMpgh%}ZlAAL9qL zE4Z2n8ZSzo#~>vH=Vjh=Sj$uN6o{$p33l!cwL~X7RE_}UhV5S6pwU#c&-|R`{f=AT zd6LRb(EEtJD=rj+Wy(I41nhq>aORJ6UzQy3EMDASFtM-l9blni26O+Sl z0lj`&2mEm0!~O_A&m@{nhd_QAGT#{L_}LD%C?i%{j3{+UYrnD;U`+mAqoFg2bDEo% zGmd*%6(qng?L&WW^(IoCga;b=yz*p>i*?^>1H)Nh*}VajN2=#Nzu8mIGK-x$8WoX0 ze;*MMj6C9lP9^FHsdStN&XhUPw+kDis4;XY-wqtNd02c4d5T;;*w_UDziiaEHyx zh<82L2fx`vJmo>T^?{OcP(sP3K-ls;*ibWXAXz)G`l34?*#U?71O8$s7nHcmS`oG& zERM=M=D+din(WS4yX6zT=XApPQ{|;)&;u96sO47qswKW1l=!&qk6-Dj*Nhh2`r$$O z3RVG6n*PXlsX><{HQn4wxp5?EqNExXatQ2us^71^`h3SvBs+%rIM;V&WX&E`+efTC3;_pp z{n&1y*6C}!ry~+Ld3pvvzViW5n@um6cR4UEWdRYf|@VN{V zB^d!I+5tf{xQ$?glo0-zEaqS7>vNX4jlbwnwa4oHg+#pu%qu^GR{n5J#j%p;`8#?2 z(M&ehktPgQ9}%v~Zzm5BV?~KEu+E(Sz)+7LRz62C!}#)(;0@aySAcC@;r{;iNom+R zyvTPFi);S(_R)#oKDO(S^G%1B9R8_)ln@I_@IaQ!H@5s!|9Ebq+3MkH2!@H7liUD> zy84FM{lUOdYfj3{kEWZXE$1CeCiLY3Vwn2@Fu@GpbrvEBia&LV3#jf!*RM!^{8=n* zE&N+~Sf4+CXZl85&nth|vo5l=e+Skyc7XZor#iB=PxxuR-hOSF(kE^IRO-IOBQNYL zmA8P&1LuJd#j*-^$(H!N2!<~J!4<4Zat2G1l7XJ|yDh%@2Ov6Sl@IsBSzl_p3Bap# z3GcL{)ff-nDhMb^W}&fOSC)O|$w#&c?hH!HnfZGAAZ?(zX+J%cUfnW#SXVS#B?_jx z@xOMOuAgpQ*GiChJ+7~G|DtxdB2zD@v@ySFeH-wuclsQ-d?nGRKq)IXrtm|E=n(K1 zuDSFn8Kd#FsRi5XaxnG(y2sAVlEi4Y0Xt3Jl!BJ?i9|{RHG5lu@vu!|c;3ff@*nh5 zaGG!D0&H;&7rR9fj(M|b3$7ynz;V6Xra%eX=v*KR^b^f?4r}3UGNRINNzXACi;_fV z@w-XQ%kQ=W9X1k(L342SGT5sXf4(Z-$Es}S>bk9Zq(iqy4=K`KX&~R9hfPV>4L{6{ z3A?rX?~2fU{$JX)xaqD{*_sj6{`ZX`Kv=0OcCweCD%mEeo+F$_Hhn@(#Dj$LwAubQ zm;9mVh@DewJcW|+7wIjfKMVLk%86@rx|lG1(<7_6bb|KQ1OGNh^-FgCEfe^Rpj-|ua=-v!j+=xE%}YiDC@Jqt4Y3J^;Uw;m2gu!H+YAL^lRd04Spw^+uY zGm9Kca^eofPd-xx8qR@WQNF$BWN(eXxBg$a9R{qu-eBT-SzU1pqPT4BA|)TTiwY3^ z7**$c$(IGukKAYKe{o?{lGwX%c#>A(v)ftG$w3d1y%eKsg@Is!@ z^NzZz-d=(OFjticj7@O--o)xqApEVtTf9Q}(x2s#bt*P6pj5$h5tNO!{0oObjJm4+ z?0R0v8-fF64fCc9aBYtpn^6;X|JNixee=I3d8R(Lh*dPz)}J|rP7buW)B1JX(L4&R z)VS@KW~TsXkh(urt~EgrOHbbqk?$klx(?esQBgnKZN0N`=f~VzBF&U}m4XTG!`Z3_ zO=GbpojyfMOx2qnAOCkr{(U!&_thnBnlxlj@!vDu0h$_~*E;&Jn_w&plfx(c;(Ot* zq0351RAKVJaP5EJj>YHdk2-)8CJTp8(YID^>+y z;{fJ&E*A|xy^B#_El;(Q{M7zSdy2hRbD-m})bymVo#FWEU0Qqmhpz%MV60;zx4eu0 zw4$!_IU%cBCX49odQIc6G+1h6=P#|lF-o2|{ zFtJ>8lrZ^soyoc$OhF;`DjJP*yBF%p8F2HH9S1%fmd>~a&qJ}Yz9#Bi1EVA(^Y`nu zfVg_n-g5naPE^tA$&TO#0TdVT)(bzpC%Cl(r5t^|99D=1iXaf3{u-pd^+>2z_RwwI z|6?L5?jc&q_@)q=aMsge@AP@ytKRsmZdv&^Fv>c+1XyPP7!*7krv7Ohvl~yToxiB8 zIAH6KwD)E&;MPRhtv#=?0s{@cd&PdX84pEg2b#FDETd^{aY43Q&Ht0GC$d)K7!sBF zcQD^BMtqn?*VxtlYn5a2^taaR{AtI9Dbp%tFOnnmsw{ss{{_ki9l*}iQNrd2t5F@E zJ^?f>;2(2}3^Jz1L(RZsys6xlRD{YlxY^1t-8cR%fB$0}__>~vBa(JyYof|=5)90J zfGf*9>B7E530wl~i4xfNkHc%)>H7SC?7atAQ(4zG9I#-ah^QddHZV3&X$k~TRP0!h z4uUi(0g)O)K!>6TsEi;YO%#w8krHYW2LTl^ASFl(RXPDe2oMPWJ}2O)GtToo-}}A) z|GwAtb8*dJa&ofE+H2kGUiaR|hUJmGKr)Lim>#^|Ee4#7BF`A42TLDbT)~dig%vD6 zyPlWh%^;xu`0_6+)=3%&Tl@!DeY^@_b;tJq0#-LGGuWS41=sayk*!Q9k%oQ&RtLY0 zbWxogmTK++$%8+K>%P>e92aOY=h0kWfW>?k{6g70_(lF4u^&MMq@Ce$dgj=RYDI8- zu$he)qUwf< zVh6{FB$|!N!U>ojlYwEd$2=u^->UkjgMezj-8`@qHI`Y`?bFH9QAc?<7h^7Zj@ZoG zn$lyj65MZH^@O$lrb3&rA$CJ*kH5nufs*JAjSpL~ZJyfWB@?W>=D+^C1T@&t6v?O6%&${9WO~oeIK8y+uZ1C5 z7l`izj9>K)Nw}P@=E5=J_8KC{ZrZYVqCJ0q&j>+gMcgd>V?$$&S$8u4eCRy z^@L4-b>VMca|ozw%M%9A$pEY603WlMzo`qolci2Eih-p1DiiO?LFN$2_B}FR*VnTQ z4GQV2LZcT;LvXR@215J6=9e&&U4p+Uj~M+*Usa0LwZh{VG7VmAvYe)Z&mpkhlWuEF#wt|;Kpv?TY$p>N$y`Mm>n8E`=Txu;@-PC&=PHpDRs$vU& z>n*yiTm1ox?1iz#Ti5@7iS-I2jA_dZ!5H%%5t4w){1Q)AbDWNEk5Yhv$GT_Fmpy#< zayQ|0%%Y9fOo*%ls%NDmlg6%U>A`N4Bc^r395n{mnkjThKs^1P{tG5^$?_nj;JA9WouEjBQ2YgjIEzUEYjJJAu)-o z$)zTVXhK*nD0BaPsNOjG3#}4eZ01z_eX#yA>CkZDd4v+v)Y^Xh<6e8?9HQ(@x;>=h z_;YI?UTFWYdID#u1CE&o=GMS52oYY}xB8?|uSQz0d)DJ{p~v$9YkT25aIlyVS1HOH zLRzSHG_+KYMDe$S(_hXjEj4jV>3!;lbNUGYw|dw7;I+}iIoZ`?10#txyFGEw-_qA- zym(I-yz5^3Z?5{aeH;{M0oQsvP!eOAmEF&Wd}hvS*Y_sHK`U76pfv{5l`9mO7ZyiX zmX%}&tXa&#w}a$!j2jpAcKay`|4yOwX?8$km z=*+AJ4hl$F&|}3?zSfElgSG+i)X=8=9V&;i2(U;v8i9BUQn zx4|n~b0s7^aaHw|Arr(8t>G?h(gSHKsAMmcgy1xl??xzC|9Y$8OI3UmN0qlM4N%OC{J7{%ep6mE?a|AB%e+5-??2C7$b8DhR|T#PP!iFXlM8f5zN=JyyBM zVqa8&@}<9|ryMYMHwx0XeYJByChw~4d9igJ+-DKdK;PvCKgf;t4gGis!i&dCISMIK zV?S`u>m^pH3=WFnV)o}?W}gt@QqQIZZQ8NT&;<)(qVj|az|JhDkb9v)gGGFAdHud_ z@E&{vX?NBkd7N6n&NRt5p(*#rybv2y!?^1H^1sCV#&ZSxJj@nyJM@Twe#>Em;3^Lc zD$yogw;g??lq;5Gj(MvVx$;A*Ban*RIMbfmfodHwZUx-e-S^M1P&HL}|i96T-kDZ1DAxNRM@bhs;FomN|yms<%#~CtnbB8sP1iEh6A1 zha*?EZ<*b_!tV;=Xc1C+`f4xjbMlPR!WF+lS2V9|2cixeA?{vJ!dUUFx3l*^?nGs3 z8*GB4%|#9?eb+UeLR>DTy1Pr2moRY=z1h?I&xFcdGfop9a9G9ET}G_GXu2w$)ta_AmzDDawu7Il3NNY~Uxbe0RLx zwpY8-)^n4;bOlXMj~9zhM#K^6GiBqmLsfJ8k(lO!Gn+X&xM~^jEzj;49>k%&Cnx%v z1}o9*h=--rpvDtZhA*iL7YTElZF*t8%A>aH-B?*J?L`1-`$7raR~;H~h0ja&Z)pf1 zy(l%kz+p=@;~JNU9D6z!tG?8&CSOS1E)*Cy=^dCPRaJz1h|mr^lM zYr)XhLh8mzrjbPlvSudqFor2(DHC1(k}S_xe}pSU=JG&OGm1Yuw6aBMc37pvZbmr% zd5w>Fxc4jNTyb+u!`ZO<4Kqhl~qdZ_kzyR;uI$xtJDK}^dJbj8QERK5bLb$j*DM{#oG zG$CS7FRj=oOHBjqqH#ut>XupSz*(NY>dvceHofT7tsaz&Lm~?&XU3nQ^bgF21Z6CS zf6P|I@&`MTe3g;9s9qyJKYxy~As8UcZF@Y#B`#?9r>n(Gf{Rm%c$_{1qpgo6NeyqA zH3sHT8vnQUhY}pRw4}t9i<;Eye{(L0bLR=D15c}#Iwgp57Y##VQ~S?PS--$G$kK43 z+T{ccTmXf%M!KR}(+I7^tEE&l?pZ-P611lYOn|vgdtL{M(r;V~-EX47MzvyFoc*xD zi%<-%Mii>CU$7ukM$>QfWr<#vxD9pV)viJBkj!wR@e(ue5db{3picLxEIbrU(*;@+ z4q#H?cdPG$2AYcrk+P>74{?x{f>ZNa#CBk@+m}LKZLdbyXK!(^2sf;oam;GQN0%#X zp;CJqnKS7Ll4&}S@i&~sc%>6=4UN5(Dna;O*~0})`@rn(y(@W_>}eX_`%Xp`OIEM9 z=40rA>(r5X0noCOFbd;BEC;Y-Ta6odQr4fI&m+?-9ld+*!mujbLUEO!7+dQecjIHb zM23jhunaM{r|R%G-{8&{WvgR7Hws?f?4;j(yj|sWEP_-1+kXS<{Gzq3@i07?smWP^ z`xP9y__p1*)XxCh5u~&~kRyBRU*czHV<>VFz1FCaivIrQjQX8J94c&vv~E7{#>}MmB5N8(y0zRvQYBI(V7<+K8Hg z3299#bPWg+!l|2IzB2{)jn6J)KU9Fc1GO>_s>q0f-x1dk?V@SSu`q%Bav57$I{`2# zz|0aFh4LMynq9pp6OiAYcx$5(c3k~)a})pbqrg)z^h--J$Noo{T1gtJJM0nyu}lc~ zs+dyh+HleyL}IHX@X2)M(1k^xTx(&M0(>&nq@D*sQiP^H4-JLGL(yyYlj$M7J-xwQ z7jVx_bs3WI6!1qGYA!jR69xGy+KBpHG|hB3Il6_v@ZS%wM#_ys2+leRJoR0K#Yc4; zF_6*=f?2Ju4b_@!^&{$6`B~GQ-^_Y@n((13$yCodb5EbiFFsFV39TnG>YIh`VjVpXKvwg$oIdHd!W0V1qAW2dP zT$Tezxy7g%+?!faNcp5uPv9W9gnvYE#~-m?m_71IoxDthk!9Z!$hJ?*_@;Hx=#i0v z&g;1&b7Pin7~$O(e!nZO5EPLWNIk`slVQ%V8)ADb4O)hvvrf zVT;bt9s?nYS;o0H+u{!2HOB$lS_q(tijdkYxx5Y6@jn2vfe>lW2LZ}vXG|g@r3wU^ z!@Z;UH{15`l5FrG`VW0;qZ5q)1pFC-B^r3D??K^ZSdvsfim#Sl`jPJ4HJwDkf>c5) zwzrZK^k+yA!v7(cIPJ(|%+DD34W0If`D-)cB~}_0wx$Ufp(P1{8#1RWD}(Y^`BgTZ z;YO{RT#AJ+&@_bu(@xBe->YF7d%3i2 zobGNy)QgQPDUeD|MyXP^FNpqU-uxt}E((a(Lr8M{1yZ!6PAHDrFx|$wOp*4x@EeGT zh+XSA6a_!X)H%^r5I~ll-=I0jObgM7$V*!7zjw%8T!QV;fE$T{SCS}u18|lJ$EOUJ zqSK_kH5iz*Of76b$DA?r)h7|p?pf*&(vTpUyE%@-_9>Ecgk|wqM-_t|u%HeOUhJ~% z7a0|uEUYjNI}C$p8Q;}MR(#DGpl@qjo#+VW@CM=Nd(KDnzyFA_wD0QwQkno~b*|hV z6Yb{?gJg5hh9YFr^bI)K1aM8@6(l$FT>|)p2YvgvjD9071HSKjyXtxkq9p?1q`A8C8Tfg*H(yuid`mjF^3Rlvzm6=@=6T=MyyQ#7U3$9Ik2k@ci z%QE6ANOiQ=jbTO)5?lGc19jM5ae-;+TMaU;i+h(9_m6=9*u(@u?)MIyEvGf{--S#V zPJzLj83K;woV!5#tMIPiG^C$K(~&8|RyyVIs8b&&J;H2*I{B?d)oY@RN%(%x1T*5I zNkJhA8aa@>LeaY=|44SEj)mxb8Wa18KuH0~=}`u#y)}Ts8%Pk+J6=;f>e%FmUTXJ# znjnxqrAz~`ok2iFR{Z1^x+)WTA!(todFn^F5{^>dQ8O1eg8awaM?OSJfP7;eHxx!6 z#Z`bLExc>>>Qxwg<8bq7s5i9hL+BsiSe&F`>8(@%;93#9!BF=1u>*DcxS5D=jUxm% zA?jo?HSf;?z8Oj~GCE!ZE%_37U_nNvIJ-M%r5|05>S5wT*ssnon6bRHXki7A%ZY}# z6xPT{X+D!(KVJN9IKDEWEy)RWY19zem+V>sC!fArRsd5y?OzJ+hhdNJ+md;x{Z(E# zDLQGPl@Ve zCzeC~#Re};8O9)#1l4%!`%xIUcku%7M*-SZTq>)u5*uuWJM*$=`-BU+kNs2J=G(AA znb}bL=x8^qL=6&jr*|Brc;rNz22V`++Ic_t@(N0dZN%6c?jZe|1Bk}S?x*LWPEL^e ztibFQjEV9CA-8_%t^eDZ0_3GZ5(|+ZTYe+$5eaUUto1JZ4()f{dI9{BnJ?6D;7Ef< z@tc+gaET00KI#UipIXO#p(4U%BK9Dto>$v6R`ZEzwJiU#|g5=S7eqetZh|Bs)xey$Z( zpFeO6A`d{y-fMRwW_DO~0sD)KLS!msr5R_i9z(#~-eQqxvx1BR5N)wl6&pCu4vgpG zT;E=EOIvXB(ty``Lz9ob)WQZ+q`MWm%gf6@H7P?5gF7Sv-Q<4}gKL)nPc7mEjH&Q9 zO_)Q70eO=ec`KQ2 z*UNj0LuFTMLr^RUzzR`r;m5xbkG#ChH_`BO=5{ELj6mCG#aVI!%B$h80p+ip>$(1l z)yPTuRsWyR8k&5GbAWw63_=nVl`;}Qd;#g6oedvEPc@3R*@yh!H7>vA2*k0?m9Nfh z9_@Rb7&Ptq0ft8}b)!iY0BG4!FYx&lE$)KQf&i?|D;}rA>3n`*2iD^mPA>!g zfP9H76CtY#aC(!_RHDOawk~RJ*P3!p?|cd0r=7;RR9c}EnLsK{S|D}5A#9uJ0Op%* z+E9ckf~gFU9_e#7Hf0C!m~_=RvNg2-N}+ie;xUdaXuRC6=CA-+;Mk1;d!hgn1#NCx zqFCn>`E#X&D^hXtr_$8nga_gD*3poAlRv1afMl?>HPD$hm)0{4qrPAR%8o#< zw42m{*Q4nyBiJZNo8_S-{5wK6s(E1xUAi>{zTPbzEd+_`jkQx3!einJ6%{xi1gEt^ zMHAhfVAoNFAf4Xh>Du`N8*7l8U(ZR;_N;?!?^|<S2hPlzLHIeG=KjM0a*2#ilI|Sl^Nb4+s_2_effN_Vn*Xe7))4y@?KCW`AF$gnKqPJC?xd>ablYpJgSI)9Si?Y+*NJYl1zZ+r4R@VJf$vEhURPk zhACTQHh2ucrMDnR{B#(C-n(<6AezEcVOq5G+z64pfSDnSZ9Mu7h(ErUv^qZt|a5&!In{z=Nj9$Ld6VjV;fb-|KtlhS#1%NK=6M}L} zQ0@6zXu5)$o>s+~?jn=hTEc2;@gdjNF2Z@Jn}0{emM`M@HGmO$c4vG0=`cV-PzcGQ ze}b)V%bm@uu&r!Zca0F?{*j42#eQ&}A+>CZhcg}%eh{(owb}`)P4z38rzKdkKeyA&5$GKe#BnykyseZ@A)-x7-G^HcKjKO zZVPGp|E}8toEC*H2_l}EK`bYj%d;qRn zmS&H*aF9{p2Z(yBg%ioy9?Qc<{v^xhe2JWU9PXoU=Xas7*zti&Jw1tYW;>@6`OgGw zL#B1@?gbDw^T$t^uaG~eD#aZYrP_#eNuf7rnLth-=+9i7XB$VcXn$WkPzG!;h z{vRBf+1aB-szCaXOrul)RWby*fHMTH%r3uIG4>%pQnxF0s~|M25tcEy8rO0B83d=U zQ7r>?FjI&Gj|4&i_&12p=WLZiR6+b>-d-+13hf4q8vJN3S-3utCv3~170^v`l&g{j zgBzOx?WX$M!nZRE%WJ<0#$OpRaCvo;4HjY}250ya{=WFt1S=~zrKBDU#;@3zEA#`< zmm}hJ{xM4Ol$1>uQdS@Wk5gew>)ZNQroTW!p?S+JCyx(V2*&3hbs8C?_`+V?Z8P}&cpTjNKUoM8{!E`CMxr!v z9)5q~He`ce3*@|{pNkpvFoB;e5WbsV{i(<(&S+N0WB6Wf{dw*0AVUOUW(GB4k?&Gj z;JY;Ap#Jq;CW+bToEV8e?x|oqw8SiWxqcjU?`_$}Yf-&3up|1eqDdRQ%k-_3RJ&_A;FcJ*5p zSo?qpHnF2!4Kd2~7sUN{I&wSPhW1II-E#?CKo zUZS-@-q=X1@9L6nbXJ0-loJ#aCxzJp>y9NjOc??mJ1A(f0~Pbm1lC{NYy3)b6)aMe zuIYbU5_jb`;|w#bZ~9LJWj`fV!TkWQ%Kin0l1vTH@7n)~t)5(gOc^`=xbiVr8G z2j+*0sm9N!1ZdC0BgH}5fOZCKt6Q_Rh;NxA)sbUpAmS_%6_SQpkT{J0c?H--twOBb3#E1cQsJ}k0TskCaDe20 zCp}SkhMQB^oZwXdI60-l(l&*6wgW=&{PoHI%i57c;^W^qG_58TDpUPUpggn?CtuzK z6Y*U|{Hvlu5{89H->v2jki+8e5+ZAVME7|c*}5{mrLkV=ka}|H@7vfpA7KHX_s?B&}s|()zS=sgodk!*3+$ z%SfB<=3!5bAAc~&{~WsTVJH{w3J%@EfVtipH%QvGq3Ji0XRNY{^&@Y7xPg7)5XqJR zKm@4cxHGS`py#i7sz--)bx%EQ$Q@TRU5*~)CUh!tldgPnhd(j%_OIC9LB`J?GsVdj z_AG^Z_0?PDAAc#cl%v9$dGjyDvHxAvDg=6ebsTFsthjWMZiR&{P&qXPmcXK7dqMHy zeeWP4qN5ti>1p?$y3y#c$X;pe6~v!}7Elo`swWmy2aA%ajtLPFNeHh%#Qa`J`pU7N z$+!Pfgm9R_We+E-Rg$DjpqM?llms^gfq@vW|3rwmXJ%#)CrtHWIjH%}?vGXTTpK2( zk+;LC^iEu`DTY!19*1SNx{rb9pn9+-uZ6Y95ogE4HQe1xs16{5I0P~JW8;VDcCXI@x(IuHy>$a|jvTIG;u2A-9R}CtI2RjrjW4dcTH0N!=ogwS+ z>#`TC?hvNG0D+{YsBtNE-#-e?KxGs5??9E&u>a#X#LR*o}PKymRohtOLwSXDI&R0(F^;ll=h2TwSDj?AXK1o70hjZEuNpgiQK#i zdIRxqkh6xXIXhy{n*Tkw@m;C%w)qVHBS*@e5kUH;R7oIo9^g5DYM$fUBsF~OFSQ4(*ARvtIs4Fb zex!)jIo4G%HaT3s`vEYbN*#7fYtL|~HGS;5fN8!h-m&!#Sz}&pgi564QPC~Y#8BeM zjSTY9xH8-Z;;WRY*?Z5D)wd#sXI181XZOuN_eUU>4{AuxbyUo=@eQ{$CZlDD`fLf? z`+Vy^lcjr%QXa3z(5sZ4n?G?kE5Leg*`>=xr|l8wQeSp!7}$za8rJ`M>%T67K`)`gjEaJRx`+ z#dWofncYzVNn_PGQ1IdeBQqdOEG5mAm14!9eqm?ESW~qcbG1`*IvPh0ztPc{TZiw| zot>L!Ym5=ulPGo17)YHfzw$}1=L18AV^?7_;b_d@a|3})s%S}J`5+-LRd^;FyCJwt z$_JA=OMl;K=NXHeYf7b+_9j8Mp-(oEA#0;4YtRq3}FIA!V0)|iTJ z=q(EiHY`jBg-FhK<$sQCtFykkFKX&8!Ux&*2Q4f5ra2(-74g0ST!!8$%))tQ7}1cG z3)BINq>d|!r(}nXp)!}D8nNH5hdyr6s-jdvIK3u+`{8sJHESR@y5U+qdev@x>5WOB zwJAy zCdv=>-MzBKA2sj|Dj650U2?4}`DG$`AT?V(t-&&-VQwqe%;aDTgSc(iyy)eUWj7cU z4Q#=&X5!V!$lAFE2IWpC8XmzJSCILi7eti$V)BjVct+ynG~V>|m`_iY(y;7A3MenZ zJ2q6teh|jIr#L<~aY(CT(o|NYi%q$`Fsz>LXEaoH(+W+nNy#c z`)u=xewz3NF~!K9rd5Hkd<`({OC}R;tnRC^$(b#kh-)FN$GY{p&34gz?$EGP2VP;B zxUE|NHtn%+eSlZ%hj|5ahpD2|&5s-vH?Iz?GF|gvfPP`tr(j@E-QD{R8OqUKu9o(_ zLXy=uJUhjzoSGijnl05}i)di=-n44WXHONm6N91tg=rX!-r?9;sSRWFo-MMV#nj?y)>JD~ zqfCHEyes8{-KfE4?^E}lT7y?IN>*7}T=kB6y*kg9*x+hvn43JBe6z?EUFuk<8#!m8 zT+ez@IFP=+ic-67>dJI|=jE}_ec5){0zJNgJH$P^XdRDxr*nzN(nn|mavL!|N*Etq z%+Usnlrpu}!30&?8$V1zfWSG1qnlR9(M@L*L7m$TXpxspx?|_DT`VvRm6bR~m6cO-foWKWk|5JJfdW!neD>bam@fO3-T9grM8h&Q?2K`u+_dXts`Pmt^i3@r z$LMgcT+?V8bJ&PkLQv1_$V82$RrC)Q^*3t{X3f+APTO6cSOH|X>2M(z)N)%QMKx4+ z%xiF*pbx!U`FQ3|M1Wt>Jeg)cJHwnGrHUETx~H~$Y-^!51C=Xg#m;X3FY02Lyjr{T zXhfSZ%fwWQ;v^P#5ZQ)<9B$Hr!%d~75{&01)1a+BaP=6|;1qYwXm(OSyh9ekEGiU^sEC^lVD5}YDE~_Wf)MvbRBy%!I0_@U| zBdUc31p)C@Rd;!7q3cfd`B{2CcAil3fSIqHD54M3g=aro<$!%0c6wd=zfoo%pKAVP z=^4f61tEi=2lz&b7K%)7SHU0;!M`wL*Ur7q>`&-!}e_T;`=)cf0c!&I)dtl(H7* z=HZfE-S`LPEP7xzI^Gj z`(=u^I>|h_s4doO;Ke}y%t!h<-c;4u5|6%MUQFTSQF1ia0EeVawkS z=;(W8xIAKTVs$sbJ*%ciqU4S~nQr?s7#v9WeLuBK%k+)}c3LJHM|5$MB~0e_yzvab zS$go+n=O@LD#ag~O7{=uyn28B#@qrl6OrQDbM|ed)LaM8=Fvb&4;ooWtNkM|ey;$c z<%z-V(bf_v9LnsG0=HTGOP|f7`~RTk6_aO&P2AST*{a@ExyY${?9orU$h9YE#Cj$# zZaP|d_B5JcZ_>452hV4#h~9zFYf_bZxOmQvnsRLcGc&VRq+jLGbhF+5-ki1uvQ4%5lvi_ys3y%jn+%ohkX9LiYR#Nd$9s_KoT!oD##{1XgD2doicYop zD)qbKnDlfF+o&9dRcqUxSa+jmlF}h0SeW3e0+!hGettjg=?_%30A$Yi{s70=-(GRn zRw;BlMvpdDgnB^rGB_H@eCoCJ!<&~DwlLc7zL1Le)lk0!H_A~2Z34r^`K0FQuQ2i& zkXZaa0*oVta}lB(RNjA29c!Ey2m3zZ%YB^zvGm+Fkm#`ZLJgSm=Y9Ox<~zTUWOQQ1 zPi(BzLwz26`-*T7f8g$nc*9=NT(Hs|*|2}`Re5x787aQ7lnUl~oj@_O7tApFOYj(I zddkz3-$&XnuYt2}O$oqUe`}N@yd8yTYqvlbTcmAKWWR}Z#PtaS_w)MMnMIcme45O< ztUq3-eSiAX`&ieQTjE-9Yd2{CqtL^R;xq2Xl8*a1G0FO0D&^~Fd(Z*W54SoHUq$S+ ziwYhwB(70*h&d9v8UD~&uwCreZZHZW&R@{cI629g^PS$p0+{V?vpsS41op?z1yfCw z2%+B7s}L1qv(4uM@98h0=Jec&D6pAZ*MERR8t}$Jcc~0xkKS4{r3H2L_GTw*uzd;% zGOXte-Ky-+T_?p^c;`p#4CpWSM1kLtBt@gb14ivFH`>y5q@|@#1bV;1`-0{k1 z8TdZ+USs$B*L!!P^ewjsSHoP3Z=tOkv&AKYhMi|+y?nVQI5-&mFJ9N|LsM^OHd#G- z|D3C>q?Pi{6KcoEdv(j7VYNU$f8w(4)J|^>H}V#!3*nJQoE73W zXHtB&*bf8#CBMO4=QsRj7o&|;A6|1TKV z|G$-x>Hd#3`~TDF`H;eawFuua10$ndmdLMbeXpUO-dhD~e{J%G2s~zIvLAXNrWKV%vy_7M3OO%Xc8V$0j^FF(F?RwMMX%7CEVl0w9Suiz}O_n}`?eG^izj9@BL}cXF zr+Q3m>`3!F)J%e5TJ;Qg4LE@US4$T z-eay|rxR<=ZjLb!OgK@OpmGsAa8@E!%Qc04HW2zt1HAU6PsP4uqJ|uzT_?phZEah2~bB~euiD@t3VjpCN4>uatZNdx}@U@1g*r>L0w z(=2DzB+->!8&Bb%W|eHr=(ox-$gs&!eww8u5t2ka!Uf;-SJ1S)mt@R{%UF2a>^4b{ zc0Z4a8ttxJib*iV6pIuMIciTOARSxs1#4?-1qJsq#!Q1g^GMxce7SfydwoU&&t}K$ z`a3p0B7I8A<~;-cHpQ&ON7q^l?F>UCsv5^`TZyx~=d!G6vH95qQIu)%n#i_%@n*T< zkSNA5|FMu5HP3x#V%4Ii=Z?1!pTz~A8N774w8Zn%sy z32|@pl+o4I?Iigv8Edk`9Xb4FW@e^!^$_c7i;2ULH%BX?LxPTOHTN1Qz1(b$VZDA7 z)g+lUb`H_fSaUZ@r}efByNg_rFegy{{Gq#rRo5A{>+^GCG-m8=B6=)$zf;fa#=NXj zzZY4Bzm?2QrqNw%Y=75h-;;f{%gk8Pt?e3ClfLnYP5W&me`p3&&pv zO<#7gN{WtzkIWWH=iPP7wJY+|ULHZtj+QzPHe`78B?NYY$JRT8C$)O?#<-h%d8v%F z6bMl=jhlz&!~~shP*2V3m>yV5oa-RYvnzQzl?e)B#Ifg}r*P^;f~G#B-riT3m1A!b z(rWD8c<0qx?>J~vy0XaXg4wLevM?%Ub7o8NTDy$gsiHx-iUgj}Hywe@vgfU+vZEHQ zphLS7%+ayw$V=fSVHU(Yr=q*N9=WLvicY}ed}=}k|=cl^q z{chbJ#h4EB^p<>~>N1+*#z&2p?L$U$SW?kJ>xLlYxY4|V2yov$)0 zpk88GQ}b)EuV%?zGXp)1MJUtsl7cVobw=j}s0SlnoPYahgSV9@PE{>qz(@Kau1^hK zWxtcxRKF}6`kXB@IrLcwN0_-*JlSLih8p!|n%-;S>Z9e_Jm&V|2J;5^E)}EYXu$_iKpTsm! zaws^bqa{|iyoSGKdG0}JoCLp1jLoe~f15y?TU~ZV6Wb60yR9%7WTp{Oh=%FBoCroC z!w}y&$E1ek=H~AA7&Q~$@3bj`HjnC@EYCzopr=Ec$8hrlb5p^@5=m6Ct6~JzvAn0g zb!=oH!J~J4xG~Sc(5P5_y)e#a9RlAVHukX$Z=r3cUF_z0fZb1InRaH_;VP!>aAg{E zX=V9N!;D8UZDO=y^xh=H<-cq)UcaQ=hm4Rt-*BA&tUrlx_LE=wP7V zhJCv9sRPo7xAq9n?J_;_YIX~?Z_K4zFY|-k`4~&biK#zos}*uyZ}y3zEYH;%zPAJa zIzTB!Lbu!Ck!ws-f>K}6N*}p85HdO)oYut^G?`g(n>Cu}FEw{nwq@cG+iqm z?F`Ak(PO0`DrMX%R`uc$hGu5exL+zQ&Aqc@tA;Q|S5{jgdMukqGFZOXU zWUxb46<5=tPqBW@KFAlW8YHscwdwk$#|@~sZ1oC}6Vtz_4@XYuhS`>~dkFOm|5Pg3 zK1Qi=&i}I5)y83`C(80US`JS4$k!a!P!8pt5SwWI%{fntsw2X1?{Ast&DM+xb0s&`J&FxG zd544}HpJjlS&`OW50f4ikgJ)IbTwiz)6i!fu|@gB4#pX@;L$qBWftxOU}HfBq%6< zw&Ak}ttm^dzKObEoK~VQdj4e7UEy0 zGE-Y`I-EuDb}O;i0$bYVUu$FBQYKMuBdaoVab} z`j`fscL*;n5q!Ss%I9IHx>{fjdr;`@oc>tmY@{4=sP5g{lmI%(->W+QYjdX3qk&9> zhmVgsK#Gdqpg_o4d%t(ihrZ6()M7>L`!>^@gJ3emb0k779)B}52Fc(bGipawp~W+n zYwYkcdHZg-;0u(dlDu4e7w_~2pU*2RJ2Gs?9a^PKoIbt&k2jtwu?=pVamhJY4SeT8 z)uH}w;#8k?eIj4zCu6SBPoEx$av_e+uWqWzl3&{t}oFRKB&TeSeIK>$P78OOkm;K{VU9+oSW%?fsaU8&CE-n?G$X1)x8smDiZ1 z_U=;~0M5>rar@!m5>IUUEo$o)1stwLOA~)9y{xRP>ZOOLr{@-TA6wh)F714lZ-tf$ z)YjEqR2wBNai(IJM-pp->?oOKJ(ZCb4h~fZIXLx^S=5qtHz&mxSLE&*vRa~|qs8pj zwAd(gvRSmSKq;U7fZ&Bvy_m;$qHAlj)D<)QIB53wcobsSl>hY*)fH_73|bt3JScAW zevE16^<|E=u%^MKq+`7-yKF`%9%>?sdkF3)Yy)9WI|Y7)xV1>x%G$b1TVv*2 z3jw1F{!aXCUmm0CuGIS(v`7O>w^AGHCRdCkYCm=E84hZecOJFS5DsKdKDQ~Jwkp2S zXVcP_r&TJFZF2DHCGOWFPK5)O1DQoW2T>6{%cGQz!HqKelw)$jN7kt~8-+$H@3;L7 zn7uP%IZy6&$0DX$3S0y?Px+s-jk7y>@}w5EAvR{vd5RR1f4%4W2S?Koi@5xCAq_`! zl$1I@4qPmDceyMcTe2a$7T;BG7#9>Rmi=PTPC+z_K#){+Yzbm882hhxANx>MRTd?0 z-T8!vN9V{%VDd)@5gqVAN>92KAKzV9*H^e`XOU!|u$ zyPePcu9v@$?Q661Q;*iESPegaoD=%&)y3^AB%hs%VMSYt9d|u&=Q{9}l&tleAfUNN zHs-bMr<{*_a!sqFEej4N@tr@@*8_Uim}Mb2c1}c5LjxCK7Nl~EIytSp3+StA%vW?Q!4=g$tcx^HTmn|GY#_f2+xGcgiY7TS>8 z2%u~_4p*TifLu2?IOxn6sLuyx`z0M1q=yaF{zDs4d1SWRUk}}TUZFwP;um$^#I_O< z9`!M1XWv6&D1|a&vqmWMGO8}UxlxxO9LyT~FdbMi+i?evyA{kgZYvTE+= z+U-!E%o@(ZvhF`>!t8N0YS~a@)L-z}SUWa|73!^`>u7wNMMIVE#1*fNlr_F%mAz3J z)z%_zpt8l>&}Hl7@Mp0Qck0~+49lE}onaI;xYC>Ft>2WLbat92JTft@-6L&;BgyHk z$-i`{)Q8KKxJS{}AfoL}$$%R9x_;<=Si|Y7a$@y`ij6sw1FlaR#G+;%_bcDpB=TM{ zqTQV?6u&+rB*excy?lmIS<|R07HK-;V^rX}C$y?n%8r67n~Xs}&6O0a`chJ<;}9mH ztu7`Y0oG^{T3XiV^ZI#O7`d$O`Q@z*sL7TuD2tS^4UCz1+N5YCe1-Q8EtoS#gr{revBZ- z(U$PmQ=pB3aY2b1bdL|GlsjW~re&erq;->+71!uzhl0Bi9?xrnP6|^)F|4p7^klj6 z5GnS412L&d-Mc}$7CWpY=k1NWr;XAj+HeVV!@|;~TuioQ+Rm$02HKZ!Cpis1{`+(H zp?EDM9xp%CQ%Je8qA$Z*n#MicJ^+?&G;O8-X6m%OQk8B_!{x+W9~rlixR4hPc4H(t z@9mO$`nMTOlVAy^!@QH%)~5&7?`70Htrbucl+u_yJk*ve-&RD}po-Ti8>D5vVFdSf zn$croql*>gg?b+g@|dFK`PF8RDX_nk*(fSM{cL|Etv)D5gQ-`{7`R?s^XN|faFN0T zXZB6{6`)J6kKEqVoynCAh2gjxO+j4QpY2BeZDBvYDm|TzKpT4)Y3#DnM+AB zXP&$*dEoEAOmU@`M{8lX3aun_G4oCtAPE)ZN%cL$0e8rUu0s>ffG= zn>IRCg5GOhve9{p?J-y%*(HO|ET7g<+aOlktnj-t{{C;)uich=wQ9{K`IAZlmW-HW ze)PLigE?)O*_X4gGODL>)lJB&Kiby%+D8A1Z4IN_IFmAah?>zKi|}xEK9`|socq{$ z?xED13}rX%bR2fg3Y0=(Zr<9A`g+i(!!8(PLnc8Yqd|_E+sC3&gY0{nn|Si6fOJaJ}#Dd1kh2c0eK&mvpTTp!pc~ zz;OCA5qdf%DR_69_+6~er0_oPSBDQ@wr=@SM!X}{Hal%oo@ZmsD%$^QthTX1?_Ke* zDrnPqhs9V^Q_Q2!dch4hFLI(e`Jiw?GoBLucDM>-Ptf9$p@dh ziZDB7wPg#tU4X55`bN|QqH(W8CUt&}D)r7S7Bi{;5WuF*vCx#Hq<-8Sj(;%H@$`zz ziazUDPw8529VFvox3Se)2@M~$kFiKJnT4H|BiplQ?dHo8qv?|mazd{d8W@N+=N-?> z&wqptVgOj;Yp+QybRwxo7$6aa^4H*-bs(*F*O! zK{rEO+WQvysi2@Bw$|$XuPwU$>&5T4EXg0n&Q;9sV1L$Nl}?3Fr>d&vX*mXm%#KR` zNF*w_AX+w#OWWoG48k6qZom`P6In&XW_N=o@2)zD8Mm2XDyx19`cG9HRJSY%hz~GP z0ztd`T{tqCVi{y3^4sQk3C@X&J5E%3jLU|nfo+q6VO_di6eUyUYJYmFd3*DVA||SL zlzhAds|ubL{Tq?D6oQRa?tj9@L(k7`j~O1H=&y}xy6*n6Pc5Y)OW(-ogPI0{*D!)A z?Cl2T$3BJ@c!YL8!ZLnyJoZZetx-A;J>>kV@VY^!A$3OgaEk;#zISkBZ%c#{im5a{ zp&RYQg%W&eLT_rF`&}brBH}BZan)dvDcw zp2Y!{_Tj|!tr5xI+Q8A6c`%P<>4}V_XR%GCdP%1at@pmCA|TEg*zpkg6|pZ){^G{Z6=NNx z*5QPcB;8V*#}{3e3x8pAuespEuUcjatDtYYgj9+tRa1qngY-;Hd(D&W2%mu$$@_4l zFOp;L0E@PIwmwp!+ePj;nd@V!#BAP@=9rM9Ew;Jm(ep`s^DSQr2;n%Jd#VGYOb`s* zjqT$zrJex@_wm+BHKjxFexvwI7217CRX6*T=Esk$5toms&SI{R6UVwkDCg0RI? zF@GR^{Rxb{HUjPEY)sEKaebJbEncFXaU&qOL|rq6ryi-4tP|J9+sCn-_%okWDt>p^ z#pUEK&}y<7RfKnJQfsBV5=QWC#U0nJr<&RG@5HP-nHvas(`xM>+uf}bvkHuGzJRM@ zoX_DIO<74wq4QWb5T9I^yhv}n@v*BBULN6@Z|4RNGWdMyyz?yur*K!|S<4DqHDs#d zdP;J7cxw2uamCKkCXMtyW27ItY990eqt%|nd^LN@wR@OL?F{!GZ>MjjVe6E`Nj~4A zQmSEyX>d?bSj8crKr>?}R%ffui&td(W{Y06>HpY{pHt~<+e8CWWah-WfYbqgeY2fD zf8OU{h&+5B)g>)%A}u@XhLuZpEMJLjE@kCuwVQOH44K|%U58hn>y_WXO!i_)iHbnp zWEPk24m~5IyFnKOJKUif0ac(vcs9?{XSoy63_tK1)f}&N$UT5GOu6-;;_A+|t3u?D zsW^8Y9D3yz4QukgLFOIEfVOy`|;NwPZ2UTwNNT+38CZF+k8 zjfO5f>IgHtsM}LE`JKl%mU}ir+L|hrsKeB$a^(qiPJP#Rw@o(B=r*2MOS{12a08h? zs2LVd$U>Yq0VW8lRUc;rpN^o*4Bt|=mN zZo-&8-!D&Yk=L<4YrtsFNq@EebT4qAB4l9}a7^cYCQH@;-!@JVO0so#+x>W}v}=UK z5tGL%ODDF*&3jXAvGh+T{gvDJ{Z&glKHfRD>K^*i4I;C)A@X9tGlyK@*R$zKbkOO$ z%#&Cax^0sN>kNprdp3G8p6oU}&yG6Mrj}z!Moh`;sNH{oi1X~cJ(VqN@@sL|@z-{r za&kPgXP@-A60f`Rl-Y)WY4^Q0IQxn^jYQpx!^nWqioeh{`u`Mn?f-0LT|ee&b!JL4 zGi|5TrI%?HrIeNmL2oWYDRpV0sE!DODw>j{32${;ZI!B`N)jcdMZ^$s4O;V*3gVth zkg7`%2}ObgeGl=OXP);Tc=s>)e9p;P=j`vZyB2YHE1hAZ-d=qh zTV>&Q1Nm(hiW_PS3y{YAw1z=T>SQ(^Z(v9Fvl0OvZ|&9JXbt?9pmtA|bAzGh`;zy9 z>KAe!C;but?37ZlzDK}5VErcFa&?l}eN86m()}eUe*EBWvvz~Q$jC_eNq`l*WdiRJ z25YpI`K$wh=6#n^$5a046Wy435E@-m!KQ>jpg8U*#DGyrXPPi~3rB)ENMksdc+TI)YUcmT)vIqpWtGx^NA&(ptuP&x@J+ z(7H8xydOCdvts-8^|OZ)PH)Sm?WiJF9C<3pyFiv2q~q5d0)SHRY86pGJuCgJ*4p;mN(;MwD2l_oJ))MlUO5D4)>{{pVq^F{Zyb*<5iaF_ zW)U&JUf7&hJA*rHr3u(&`d^?WXA)YA{p(!2FA8z9O+NcUT8-IBXpO}=LY}&lTv{x~ zZdzf5F@tjk*vA_!q1j-y%i#Q9pU$3Uz1}dZ0It)7PeOn6>}3(esfOr$#>+`J2C3$lX&JZ- zNg@e;S(kTlH*oWhD`-5vZf2$Gn@^{&hom2vRJ_rp%<%|b*_P9}l&vm|At2P7Xtddi zW=P>72SdZDIr1BfOua8$#RKQ`;?`hNneuq=^&`33$RXjOUSvO_4Nf8;bRv8ZI#FpT z37ln^=$DN8dGLOFut(o-MEo8D&nHQ!o%n z#Xv(}nYcu)kA0w7S3$5}>0-fFz*Z1Vx0#B38elCMCO7@5rD~!-Yk%vYTG~RhLWuNa zM3o-nu}nX`e6`Q-RM%(k!v! zbD|0gUY4F83YOxDBfhk{_u&_qUE5EEu~tq?tb)}RWE(bmM_<3JLZ7ndMjoiL%+pu) zHGDxNFfBq*BT(QEF#p#hIi}aRrjh2%UX9ECguXBW!8EB%lcdLGViO8Z6y1#C+HrW~ z(nCU9K8-ep2{jPbPP(UwNX+Y`Tu4~AY!ib}c4J0hmbXk}y*k{FX#?$fol&&C_r*vbgUx} z)rT@L3X96IM-WT=(Y(+T?iAh~ZT5Y4`SA%22T_ z+Iz~Aom*>i>$(HAd)W7g)|hl-5+?Y@JUe}Uo{ zN>Cr0Ds>rntTF|fQ2L#F3x!lFh};#EaJSoz+%eBCLflz;|tS5yuh)hpJ=iWLPh0W za40Ox;W8t$O4A}$LJx*!!lv{DQV7?UD$YwS;~A}YgveKcgt5?_3}VKRnREU8%Y@MJNrF|2$z`{CdgcPYKKT65NO}+Pq0h*C4=P#e zSU~dImXGG%?qnt6bkPyYCUZj%7u({)EdIunJ7%fIW27OyLX&YWRfiAkQSqN zl(yknTn&U;>&_Qit1sbm;zl4} z=N7(c=V*%GZI~Ca6dks4toeOC{n9H#LH6^v+Ie^aN(0S=>QOvqu4;vH_TT$+W;HPw z>)Y~?6y5{lOYc)Wy_|wa2D|5oV3a3Wc}I{izoPUy#va)7b_B>xNBC-AILy1!Tn16ZpF^vZ`tG_rhWH)m&DRO7%eMq8k;O_ zHPWAv*mxuD0qti%@%S>*<8$lzf$LHIT|WH5dY`7+K*BDHz>hFvkLq2GAqvGuZTlwA z$P>u2^)G?4cHQ*%K6VhDK?_2+bia`?+Sx$}XRl*IhMvsl=JFvx-{sdyDP@5;NoHTL z?EGSw3M!2@kS#3It!6%7sP^>U734s88#pwNR|zd?H90;Ze#PErr} zkQBIq6$k?ODUWu19Z2J==AjQMyPLiqej+C{ z%5@6UbGLls+J@JT;>?%ki8q#eOpUl$}}GGo5yD8|BQt=@lYPla?uXbG`>w+Oo?Bx-r)EJ;gX4J;|NYi-Od|6w00IYJVQ49eZ{ ztcro>2f(p9HBEBMzz}V;wINQa_evhkkK8@}!H6*tflmA2n$)P>v|r{!wm_#(?qJ%u zyg!}D+b`6fxus}U9GAsD&$&*NJXuWIW7DfOr8QrbVh0C>N05UruW zJc$i?xZ|yyxn-#P&DGe1&6+3Hz4DLxk3D4Z&+6h6S~dFa6g`f0In%sAV2$1C_(f*c^XroY4>r|&HaRjem|OkZ6}X0)qs8t`5I-^ZHAR>p6ipgdLc)CC)q=%na7az zCZsWVdQ^t!x2MSdTE5&(GQ?zj^Qpb#X4cy_Btwzgrzx5p}2*5t5cC~;#0*YE~BTEN*R8{3K%CqbxaR) zLBEt_&rU01w8&B;f4V2HsS!)LX0!Wtw(k$FCpwzdwj>($OjU%r3) zUs&x&dr-uhZNF6PN2ov$e`|y!SzzVmeESFOJ9c^%A!BN2CXf&|)ohY}zQZ_d2#DO76I&D>8J zkm}S}$_So_(A?JGfl5J9J6jIVXXj9QgBX*whN%^2PTi(6917zCU^iG%&b5I;*SYiDaM)FEdKu z(*tx^+G$MVm?4bL{L=2hueIW*h<%TG#QSGb2PG{4yj8fJEyx)XjUMdRr z0YlInRX3=X`@UCi0>iyw+ZK7LfCh4=%T~}NM)@&xT6kFXy~LIQ-GJb zcSb|tlrRa7%A@HGhw&qVxbo)(ef-r(47y%km+>*T!gm&uaMk0Zd>aGMlOh@tRB`rm zQKQiw<<#zRQ@zcOm!!5mt17*v!rkF_zu(JLM^AH1vZ*=>v?9Tu+BIudF;jFn&vAO# zycuFX)?cUjR(`t%4;MK2Q*EOuIMN&g+Wdrhxd6Iiu#nz*wY(MeJzg#Hd^YTQ;) zQgVYG{C8O6k1R_Z1YoXt1j7DvOy!%6oEN}r)LOS>e3#zsapl8+@IXBFek{=yw~17* z5kMx5B9i@bwl-`Y+8rrf0K8caBPQkVycS(;!2WjqFUsv<@i$I_e-dnq$UY4W%vYQL zTvS-L=SKa15o`t1TeJFPrU$Rtpf+fL zsnB<|VDDz6MCH$&N1S%~NC0r>U%ri5u#F)HZ2sRSBs~1j+0v-34coVG|9$5sglA3? zv7)NJ&RpBxO%V-S%wyJWJHFX;D`PPe%SuSca-Z zG4}KL_&C5%Hp|LiKYbC*5G|jtoY^Lf^bZg3T#jY^q3{p1fw?7b|43SRkU@I@;tu<965--_)?Ymeg*B zkf!*=qa8p0v-frNr^gPCSXjEH8*acd^@|W6l7{WKXM!={IyR)+@g0uo!WJ7Qx}>4l z!&y&!AJ-qlq`Koz`dotTxLp4bf?ru0ulGsi&Y*_CcM5QJw8i`y&1ylQ$`Lo$!Mspv zSrIIKvOM&_Zzzs0$E;8A9JvZ&a_Y)xtK`yAM%_Zqb0iGNdQ%cySKBhK}rA^5Vf%#G2%E zoB3bos5T~co-0MNr(=AVE6pi%c5#wk%;Rg92d0>qT242Fm2c4m3CngSCqT^8?$cuHO7k16i|IaX4SC@pbUBJ1UX7@;fbH?#j72 zH-C;%P~#5^a8GJnhImV>vC^4N#Y>}9Ou^NsYp#DpBqFH3@lx;T`|k7tnw4-pl{mIq zhx6r^(B+)P&iP_wm#8Ye_e0)?)o^5y;eGKmbLftIE-K7{)HIWSzU~2cNvqh1`*GE-M=oiP;HO_ z&y*RhA~Awskqi0Gw*N46Mw+tr?(PTIu>)X5olN@1$ceMZC?b=a%9ODLG$3eVzu2e< zQxNQ(j&2?GoGYxpERPt*$3JhuUY)b_ud1n$dZ?#hpDP;)8o8ZyilLWM=F-jLLb{jN zT|{d_78ENfju9>v(A#}5gVe&$xY``CQLD5yrODCNd$GWF*&WUQsLdhCzKp>E;Xu+- z8{0UJcrMP;--4nrie5r3E|7Pc2G!+sKkVzz-jhVbwR?@<(hx)Pyu^1*AJqSq+F3Ve zkxQ&dm?TS_X3cSFbc5)LDVXtgQqR6{bfOFoZ(JGjYOQzhXJX zs`RO^OP*-9Vjle9cU6!%x}jp|~$6@by z62Uy5^>-e{)Tfo@`F%E0hlH6CKU_fRod&+_vIG%E&pNhmS!30K=uz?=z$5 z@FU6~oxhge1G?$6Y%~A~MrGU!0Dupmbzj{yczO*D$>elIpPU2*HVNHuE~(FCxZbFC zF_KMQEcX%9`InxaXT84W%*>1WZMJ{60?X=bm?1a~_g>qQUt4;?yhQvE=jnlJ?~MEN zM((o7-($D5)Q*SrE$wOsj(%IymFRhz5T?uU8)WdJqNGwF;yJlr2j160{+{eb<3U+3HMHfPo!6K${#TzJuD9gZ7xwL#yiHc|8y z?lFJ_y5-xX$iCfKZOD37t7rP8!`Mreg>>|+4fDnXNKFA(GSu>@VTigllOK|0TaBbS zx+RX)0z_D9uv`J$T8oNWjJj=48-dQWlRJA+XYkF~5hSh3L^&y)w|KKHWg(!YZ#)aF z(3BBg8WNN~?1vZV)ha*^n=L$TFjnusjUD&mMxFhR3&YS+K=FlRMH+m(9uYX+WPqHj zNk*&IDy#c+fLyi_ZmEcLrE?Oh=`ozpz%G2BFL-!RORaQsuxlpCo87-+`&?np3iuoc z^Vi(;)SWf#Gh{zj+mkw3ZY5~iNyjsO$+LHGhldo1bq;TROKc)Py8{$I{ARrP_YRLj z3NWB@zb4JObf_Od4KLg?$QV7b61s!ro(^=6Ipc|sGhs>Tu|a2m#krJ zvYXUeRm#l7J&UcYB)eY1cb9;XdoE4-z5CRdu(a)`pe% zDpSRv=|Ev+^le-k!=Ds5YDyu>vZcu&Sy`-5A%Wex6m$;&n19B1JK`GT&&xmCC5^J{ z?}u#uSek9MN8T&l?CP7Sh=FW3Gt#8?PX=%nRQm^lTX=b!(}*Pm;?E#BsBevA$*bPD zn~UQAY)t`>D^um1q|n5Gv*xb=HE9Ef>A_V$5$?tes zt6H9)5N=GrR!m0XC2_|TPSuBA>27-U!`R|w-BLtfj)GYMhQsDm!+jS2|epB+dH2Kdg zC9I5N&RGVc|21b;uAD%f}tXn?lE{PHv>O7~^Uu(r_;o z-s~RyUeNVWhb zX>;}N!(2OqO}(=7%kX3(UMAfvi5SON~j};5ya^OKW_D+PM(48 z?OTt8Ig}2kiXcnH(2e-!HLG7cUGM8Bc~#fG5)_xTH;ZK;1V-K{9ze=Dhn_w6!Su;& zU*&=uomEBTwI*OPT^iAn{&uq4uDu7VKF}9l%I|OxsJ?H>J}!Z9LkHvAXYg`f)83i8 zmH*igAR~>x2C)TG+li2}9VMpZFp+lt z6+WvH(q3t$zJoh&pR^0NRCsw^u@PyVnQXP0KHtwW)Y>h2h4I%`tn9nNe-6?#(h5Y| zJQEKvuyfz7SQIY3bSAPU6*DYVImYOa-5U6u(@8nWsy(-VekU6?(V4K(I*MyW96_c8 zzhtuGq|h`!D{^8#5wt7$n{eU-gxsuYP>YOtl~})M4lv`TNe#jiRfTB(;}_7Hkd|4z zw9Nb7MZcD>Z`j?d+jc${8L?dr0HFAPp{j(ddLtreHl#RI(5GeXvo;N%n>~-PG;f0f zlr+lZR;W@Ifce>6oniT*rzCLCNED8&O8<5EB|*L~Q4|$49GjxX)EP+sYfj-V13@3^ zaawVDr86EezeaQYj{Z9P{}VhEDgWzTid4J!zY>ch>7n7N==`S{3iZ;QUbX(yA{0iS zD!ID5=ga&Wp$PgH+|Z*9R8(hz6lBN4?JOWSE{;hcQA;?AL|N$G5S{0n*MP~mPK6?Z zn&O#1Jr?YB1QRV=gMEEjsy*u~9}iPy6lRRyv$#SA+?e!g{*##xHV{ulmn0 ze;J#dRVhemYH67oYgB{Kx#8e1i7=mC{NUhVKnstn5C9P205na%`1ZbIlNJcVJi3FL zo_jh-O^-yg6uzJjf-ts%N& zIf32`UML6x*Xy~TAmu($G1*WnN8mLnHPe#sA9+@gxiqk09zWrzUySd^>{#dNRgaQD z2P?(ylQs6!;fHvKeV}P25%!983m>@H7tF;=i*Cx;P4l&iFEuS&^R=`_X}tsO%jH0> zADXPX^d@n$Iqd^joG0vn*3n+wL2fOYJ{1gnJa>m_#DeCVJ*FfYnwpUQe8Hmy@dpPp zn~UPlCCIEjSsy2`-eUt@L(GeB;LB7Qj0<0E3c3AyuUXbUdA{IYUD2QR@cLcF+qH`O zGJ>fOSuQh`dkvD7q-I3n!_E8Z_qqCrYip*UEiDzSh?vmDSL&pBZ{?v2+b}Nvb@yy! z)uqFM_phGe7AbtIT|D-nYcv5d`f;Xn-CwLYC53G;N44VyV03zVRWX=7WxU+nowPch zP;keg+hyRxorHoG5Y~;NgC_)1tuKWh=8UD;dW$;bqk0Bb-qs(v)wo*)j;_=*Dc1*# zth3vExY^vXcafwZ!KGrKoekND>g~fo`YyM%J=OBSc1$1k7-M)#P0KI6vK!MYF4T2O z>2G8Y1-}Sgp9&9eP4ceFIX*uAvfp(;!UYW6fg1f;`!I$#yjf89L+Sn{l{ty28{(QipCLD_lZNDZTzgPo*$e#pTXm=G z?Nf+2j*+949b~YRXvtc`jhwBEq$9;--T+`rnwLOI-6+1(ja*cDu*h1zZA={MBQ5#Q zy^K{*-pI8%Ii$j;DQPUkA6M+?>X)vBy!><|hp@HBc(xp;_b)zSrxyz?7EBc2EiaT# z{zNt^8C$o^u&I<>Eu2Qy&-?3MS!#U-oM;3oPy1DtYX^<61O4BJ@Auo9iL!9jUyQ$U z$69uFva-BtS_gWF>JsQtK3LYWg!#8rcWy{>W{+M})SrkIKUPcC+p9X>+7Q{s^Z=_l zv-cy#ROjL8C-EWa0f@7Ch*!W|Wbe{CJkQ{Rl$N-dEdi2UaR$S8#;zxEF{0n4zWC^C zU423Q=t?MHvcz~HdtJRVRG#Ltev0 zbkL3EBh3cr1qXQFQzzkMw@2@Qb*aRn+eJd23;>j#V$KwfJg@8RT@40-z9%YbsO%$ z@cB2a=BE^4yKlbPMIi18u6D=EuEgq%?&c7XAR@llv7^Njf3oG;2HT$Pjs9RXd@pIS zC-1l~OXR^t`W?^(>&pPb@|7cQ#oMjvNK__Owb9w9`5$Z$Js-#W<|rsY+Ctl^n&;0m ziG^0dje7>|t5oxEsh-obc8||_O&1xjuv+raV6#=`3!fsaT_uRUh!?7~xPN&qdzWn$ z#G6)hsM@M?N>V(;gYx6UW*lkm1tehqB4(TyS?@Ms#O`vO*yK8K2YXn>u<%Uf^_voP z@sj6R=RAV0@xT8d<{D{SS}vfMSnrbyw87Q>^go6wV2TtKuII~N9p3sp?{7bIW4a!Q zstOFTuRm9;=6&Ui9q!ZfspL8|v;LR9^WNUjzp%#Ustb2)=|I=DKuZHtfwg@-@e+M! zgJT&MyVrBmI-M}>2OeREt91;+j5gGt13Px~be^llJh==AUraXlCzFuwVZ?`F`>MxQ zCUJ82GY$?&BepyvZJt}CwYuTe0m)$mr+4c`7X?tZ*6K#k=CFCSbv3A?sgAH0-W)m2 zz>AiNEqN-oVpb+yIxyg)Kix*Sho4U2=I3lqh@3M%^mezKoNlWbgN!TfpD*Y6V#6l8 zNIa~KUm0}HNxoj`={|NG!}Spfu)Yec#{{w?P1fMs zQ|*ql)Qvyr#mw7*o$}{dg^He}UgY}P-gpUl<|C~4@vApQ_9x3CLkZ-YJ@)qgSP%2| zYXgLj=9Q}m5|&sZ;)TlfU5Ls7g&iUW55C<)G2Gt9`oZRj@ba+nha}-^(hpl`SGtGa zjtK2~B8K=2UTCafg=ESgE0+RP+O>(5xY6eP`0}*>h`JmkvD;MGk(0i0w))d0jAUTs zZ1dR&0b*K<7k13G^@c>GWZK&V>Z{`uJ-1h-S2qXyy`LVX+am7*noX;d7HuH=ndku5 zCePagv$u>+gn4LW^m$T6tz>TSS;vZ-ZqZ`Rf`a0&yY{DaZ~ifZSP0=E5{~!h3)$1E`+47D(M?`JN`b5PbvEH-*t7$moG5>@RlBk$Cz5!UPL|#9C_E$v zX!B0SzETI5`g9~(2k!WK-rPjL>nPklp4%z|h~!Xx*6R&%?eRo-2Zon2Oodz2MGOMf zQI2Oas6U7A>O61zORd}LT36+5>oT(od;8cNWX{QvbC#5Eg?{Uv=E2_HcW}P@2N_43 z@n-T4VtCYfdC7LBbg@4b7sU`oGTvCv_*!kd*M)=m5MRMa^UQZ2r?3+A-AF0t>-YZ_ zi<&NxcGZW5vg~V>XmxX87LT@64^f6`QGbEemL%DnFtdg9jE1r{vlXerus2?zc{6A%>SzA{>=NJ*hhOmGSR%J!ES39YU96}$^hbNR&<7M>PfR&BFB(=7%eNAXF=9m zKhkM|;3GEjwm0hBPP+GTrBr!%vGe#?>iB_me)!HlejLF^S}X`_Obz4_Yil%`F1NL8 zH=7<6l2%%W`j52K)jQ!exWOaer6rk-Qg~wywm`QsA6|c5_5OOImOxdC#2LjG+ndTJ zVk0;i$>vK=P7={nHR{xzh3&XD-C^9F>Wgc#6vrB!DCXdb+5QChl@9wz_%M-tY}h%7 zjpDioV^mSp>j!%*FIv=^MkUJE;2mw;^MEvuHOD6mvh;xnkSvmJYB zHgK%IDAXbL_;}SNYrHi&MGRQRta>!E>z_$gACGA^W}p3}lk50lOV`h5AB8VK=<%u-JQm6rPbUI+JN z&RQVY-r~a|ihQ=g?NM>N?>3v~)H_3mu}1rHvjDd2!|#*VDFY+2akGD3*r{}Ax~x2b z+Fq+bA<8TD_B8^BIY)D5lTs>DY>o`4eVgk`%~yxDsw*B>D>tS#RzdWD=rE3k1pwH-(; zV$Z7xOZxCd3@~$t#YL7UIwj}Z)1-Qu%&r?4OY!GDX$`)W*M^X1*5js(z^s{>W9c zEM=!6f=!*8GyV>cAv>%31mbn<;c{%wzM6muyC+NTAEvei2nSvAKAImOhM9Nmk^GX< zI`~+9Gd1H3ss&fef9=hu9`+cz0OR;8hVzI6P=Y05su==@| zrjdavwU1^8WMGGDznP`a3>z*SbzR)Tl!4}Erlv~6cCS=YGhfL^=7bwoE1fVij%g;N zrpt@XT8kPE*Q(+Trhq8fwvR*lPkrUH26OZ3`reAw8}Qp;dW2M2~Bjb<@ARu898N2nwpy4kwmmOWxWuH zQiIDjIl=Q?2?d(G%7wQ*zJGk+=HWpZH&N!Uo<_s|NpS8dgdzd70 za1?Y$c04Qi!8lr?;Tn*dG^j;~1LE6JOl}-4CWC{~s7-^u#?^QH2ID1YgAsi?t~^q8 zVUnx&nAn1TTmU)QWVJ_Y0#FlRU7eN@E)khkrDt|9`^oD{I zq6X>0G)YM}Prc`(0U)FO6o>rV@E2a5o(+TafuOa^F$-GNs0WAv)tT6P%;MqI5Tu{% zgcmi^*8>^APQxSDa8-`6?`EpbNrv?oaoOoSmnh{M?0ZWCg7wXa1=F8LB#0v!mCY%`fX5 zcc~A9{S?4AX;dlZ4~9S*wwBTLA>$lml7Yc8Z$=es)|0iF*br=pk&g(d!ScBn9$b9E>Dp>N{HM0#)H*nPa88{gV%O@(QO@ zNLS{1`I|^DzoXIS-w&yJmP)>V!uTrarz=Am%QstXB77mX=py@9hCAX7HsOcXi8(P*^7-duD;Ab$Ew&F(D3AYKKmeQi%f3%b{p=jJjwadq5T z_3$weN+wlBbuPxK(m7HwKb1WaO!Ou3GY#+3>{x``+J>WC-27(QhLm?1sCP|41=ELI<2n6yYCN~EYo^^c% zE`x#i;yEnK2H!**9m)LpS^~QBSKtHn!5h(OMtc1>oJWsVELGgqf8rWP$3UB3U_W5X zBZ6)1MjTUo($_a52kg(eBHxc5@VHjv3*&~8IV7Ee+734_;cI%rrKOp@`f?_Box`^I ziLNnOabqxZ8^rqk_`peD15aB)2PD2#9E@`ZgPR6nbBjXvs#S@|TY86{IJY{(TH9{*|p+3*&yK9Cko-KgF#j+FLiS%RFgrJxJ;#ZOmICOTAo zUKOncRETwKaaJ)4&m5gq{+x5$)tFu}xxlQ#BF|JfvkFEV1W_KW)!$@;-I5oD^VMvH z4%~!wE@7kYeNZ%4Md$319~>Gw0K!N)^VQ_lw`fKliEEE(n^#&bTZf#5!8g1M(K&qu%glgY*8zC{G*_tCPDp7xf<`sMc?x^%S%g3*Y}08Ka{n%tB`hg z-6?7zp@5oMsip+tBHq(rTRQ_i7Gbx}jbq|B39>ON@)~)Q`L%~Tk^iFiTGuUvpBXWM{)0N25E>FIjc#N+*8Xm=p=v8xw>m+LxU4V$7EE*}1% z)*Jlu{Z6Fr=21T9#H}CA^yLi8+IOg)y}Ex)H1F4BJP_$2NNR*Exjs`K?siB5a#&SUVet`a*))|cn z;ukIEs{sbSe}C4M@NuGFzsNGJQyn_aUa$9zv}lO8U3i3{V67%} zV8)Q@Nho*7&-VvCs>gXq8;IVk`*#hL?mSA<)mZ3>H#abd*H^?#X^lQ$2Nqo9Z{0=M z%_JfZu3TqrHr;>0x5ybe*WPYz#3zT!8KdKbG#sFt#u11D3jgS3D?R9nVKz50QPM|} zXL)*u`nkEYchfYS)}@iw3t+`h@RG+~&8dO&iz;qLJGoC?yu8Go#=?M)G*WIy9gc4r z9Z>E;9MTG{MC5xEwm!h*%OjSl_#N-?$D>ibRGmv=iLtH^ZkCCqmyeU`vKm_Ob z%6vHj?OQK1m&8`a-*|hDRK&ODLzSNdDR0fv!SE-?_vx~OBV-7zF|Y~M%BCE&dd~4A zyEQQSMpLz)BC|ml(HLLroug6xxOi>o1aaVz6PSI_pw=Huve{j>ia9b-WHcS6ptqt92`O#OrSX$B$Deu6`lK+Y?Jz0_d1Ga-SfZ~ zb1T-POqa7}0xFRvMH;eL>bgi#I6!FaQ1yP})ukS{o5^XM6!J=4*>|Ozi15Ci)~UbC z!*q>xk_#Y{li}G9&}Xv7Rof`~Dik-tdpt{Lqbe4rJclPB8`I>7FYcF8$Ko&Q==CVH z8TY%H7u|_NDfpQ*HIz-H6qc%p)X4$X1aEeFKN)vST@<(cK;0G6O^%WU579OrbiqOt zyw{N1>&dhng_y$i~+HZzbo%)_a6d#c9)h+hh?Ai)5UoVgP5iTh>-$aI`^u^%X-u*YTFl*&Uz4-rbEwszq#kmbP2w{@g>*x7A$ldY}ovC`@Y^( zy)i%QIiTXMe$U|X7o}1x=i%&-qGOnAInU9SyH~Ynocbse6Ir(rt?bko*2&VN`3N7m z#f-LH_4w=%$9%KO>4I}`VlHJS9p2FONR3#I!dizOvj+4eUL+qTRFk1=JIpRi2g5ea zGX3Qmd>IQ|VsQ0}npEG>+pqpK4+6kFFmC>5v(^k+!o@KH{;hpMUGy|D0)2p*&s=~; z+UPD=khcI;iIJ0pxu1NWd=WIQ>aZ)@QZe*x5)SDSmTMqIHaUUpd`{5NnyyGD&J^*t z-!{_Jm(zw2!8L5v%{uWN>yS!Rv!$fLp2oL@$FhfKWw%D^x50RywRY_+2|a!$x%*bB zs=3Kfh#}{T*wHwl94!2ZTh`yDIC{tosn}FvZ;=C*;mRF~;WdBK_sDI=l^3%$D_f%6Zh`XG!{DrQktas4sOcZx0+6+I}N>QiP8o8a4!Yv(q#A#Uh4xbxS*m zjl|ib_{B}t>jT01q37Pnv)}|-))p;brhsO|_7Rs!^EVo%Py@RRvApOM+maadGjmA- zfEmjMLUNm+PKaK%@NV|l*6ltqSv^^0-seV|4Ie~tyIgR#lu`<7jX?mD#6AwLqd}|o z(9-L!s|Y)GdiIL_$|B;1qbNSi6qB(Xru=C!{FJ8}mb(;5EqBI9G15&q21uxuYBSL? zY*#mk9No;2EkK*K4*5e0;Gt4-hj)gCk)A!nY-!%HIjz%1?1M(YwU6no_2=M+XRLBK z9~Y@peHkwkf+vcqKE5}RDC=(cCDfqC?RGUkoIs6_>hQwxt?Jr=0F%L)pIi&8vcvR$ z9Ct3w5ql#;cE^fn8-7Gq>BoXMK+x_QG$j^$Fq+z408%*#5w+ZSk>Y7l-iAScY{~&^ zmZ!9r2b9CNSGt%GSs>y% zGTphFK3Qk#-5nCHau?n(A3NB$qmv(a@bdWBvRYs9;0CwvWTa*7eBrh6aE`mj`VyEN zbovJ8*XJdEk9TY&W65h7(jWT`M<((lcJ-t`BpD#sHes)nPiVEL%hiu*Ilz#VCc^e7L-Ukm%@$*B$GUQ zSJ!KEMs3Z-5O-p@%M}SN?uIOru=w-tJTl6)hMG3p%CVt^K=8JuXVciwG!#Rw58WX4 z(MD$V5upo64$x8BYRK+Msc*18ke5(Aq zTWB@7u5Egx&4?F;rSV)ve@qL~olusvtyn!EE-xF3rJ7pUrp`)6zM+NE(d~b;%*8jobwPCa%Bx>N;>B;rfA{|ag`=1nOdoTwmf%`C*f8oDmCux zsKE&sqR1qm`7q-d0_iAea)`iA1K=+2?gJ=U|v+DAAs?a}4slJ08PLW-r|((>4(SbtpIM5Sof2&I$I z?S-y@RzV)iV*E{RO#|hdY>p!RH*RG4#MN8kD3SOJPpR089zPFrF^x2Mw%ax*^Jd~G zeX|Rt%9N}-r`_vRN3lY6e~_Y?RZ1wfP@=wq7MV;=SB18}VB{>yk5eeS9hZ7|CWEn$ zhVW91Rc>uFR?TOrQWU&VDLOqfUOgL0$ZJCF^DC?%OdL1?aSEK1E5U85o%K=2H)^ay zyoNf2{lu~ow_k3BMEbS@@u0u_J|mw(`|f<;jM>D!m#0LzxgUxQn$!ZH=x>B=MBbr0<3$ zBX|3Vh}0{^Ls;)oF^3oB?r0Uujx~YBz)3AD?n|K7v`vxH5A63N!0B`Ij$}m9(&Lf_Mk)QL>2~_0#;FXE^$|()^hlSUfVlUX>Jt0`(~}U zzP2tAKg&#OTsqMUhg2cdLoK2L&vHLi!bZm@ReQ;;h*tRI%m4CET(&zr|W{H>E_{|ECSVpWa&>{Gp?%a*O)PfVq4j^xalor_yu~Zpmxj+8~hC|jhY><&J z298^1$dR!-7?#nE@DV^wh}B!+{7GVaDfxXUTg}E5Yy~`JBg`}Qfy2d+PD+4*}@@IkM$Q@lC*5L4_?SPdg z=t(X30D>4t=2Hi$-tT#vQ&#AGq-W5vUte{IIB`44VlG<_(J3VdX==uNnJlDJZu0ND zP!%Xx0|dxaKuBh8;H$+Dk|j@9FHPVom4b_)PpJcZUd;zj6XCv?d7*|C%o05H$+6br zwye5c2Pa{)uwUABR8uFe4o`k22KQ)AIXv=H4(q5x92|L`lGnq8aOtq@>no{PED-~l zcz;Z|_xM$o**jf3xOk-HfpPD!_a3`K*RcQ`<=2&7g_610Exs{-be3nlEhbj2W<$w~ zPCJldO0HrEsl}A=P*RR|;rU3rV#>uzAsbFCtM8XFAro?Oq+D50|73caxj3fW3zd?0 z2UQOK!)y*|@J{G$fd5k3?lQhU7)%)#0S_Q_V?$;lw;AYt%Djz0J(cQ#ImwDkwk*YdXgFydDk=vitlRk51E8i9kmM67(aI;uw)sI(#Wc-xo_BSnOa}OSsnGoj6 z;LSVuI@}FuX{NDm=`e6uKz`s}!R{6^&Kz0d{54ErZk=`eM|uO;eZL$Wf@aGKI1s8Q zM8{6!BNnKJiOdH3IzRS#v7yEc%wgKEUKoK7l8gPxX$BO-Q zNH=Gy)bp(x7wO~Nz(&BtO4EHp{I|EWs^6+azfRu+2#c?|tBoNP4_E>Zq(@f~q~4#WARywUM`(N zAeu0J7P>I1T;0MRWhvh&Nf)Iv*o4{`a2iZs1gj{$wd9?JDxU~x*>YXkfwZ)1dbjz( znX?x2KP&CdpUv)$zb8(ejQIXN@2aHJGcy|m>ZIaj5Qnd@>T<<}h6{%^-kSE#NMMkt z!bAuqA~B9am7i)LiXG3d@s|EFAcYoF*EHiW0-=flwqM7~!!(E6rpw>Q%5w*(bue)x zmZSw}fa#vA!vJL!)eSK2Hy#Fl03L44mzOlIMs*-WLP?a3Q32s+wppYOj~ zP$#|646U40lG0bv5f^VWcgLV}e`J70y&M|4ggQ#HRPkXvX05H3vPYY2+~n`nQXUvB zg*Ao_Lrj?JI2zh+PRB)l9JakY{6c|v`sjHy$0kZ}K~@hpm(QP>)267Lz`;PLTauCe zXRW)2Xej4uFC=FQ3zZ%7_LL5Ps0L_GqM)!OKl+N6GLWGx#W1?l+$Kaol>g5LHb6>q zkEOKOt@|5=+)gdNuB^WDo%9mCUXo!8A1+##qimGLGC0TgzFHN1EkZMG0DLlc@+fRD z-R#RRLgQh6!+rj*SLt%TPnIzca^x2-G&D4{gjWRa2gWH{C=l%UKTNZ{A^kyO4xK?H zr+PfDUbF=bN=L@I3y0`l4rJE$y(qPAiQkNDR-7Nu3HU25)hvwX@~BdQcSym<{$NUf zRRySUeOi0O!LArW6Xbtc^-rFjE57|tr3hDb0ar@iQc_TjenfG&u&Q~Mr`opGGN0`X z{2Fu-6HxeoOr2wC8=veYw3b0hXdU*c=&u!vxGiP)Un%^Cs{fnj>wAH!r?NU2phjBH z>I73N2tu*c^`a4Y`U;0trh3-gz{y$G5AE}3{vY|VdeKpxN3W>>oxd}2M;ZZ*{55f< ziX-|F)5*PBEnk^cD>}${Z%Q0PMmj1%K|vu&SFn`6(+Tx&CT-DEiBd`yH1iOR;JY(I zo}Ql1tJqwGBFK%ulWz?@8+U1BoWYmYqFJZU{r*c9RYrYpe}8`$!b}T-Mf+pc?@s_5 zqiVmhtto?QWWN8Yq$qeLtR_IoAdNBB2Z8GFK3ie0qkUjKzKFkTdj2zu`U4&s{X*jh z)skttp2?~|-py~?T3@uBVqdGNPI=4u$MjLLF+*Qm;y2xDa6Wwb;~T25_PRO~O4!jq z6vJWX>D6BNGz5=lXK(XzcOQFuRZ=@82PU=XJ}ap@m?Vm+URtt3%!bzzHLDZ8B`GLK zMD~};ILQCr!Rmvj>UR8c?u>2d%-WRj_z_+_*P6d}Q#rL3(?Cc(E$*=$^_tr^Y(60`d*isBTmI!$$Xm)VJ|q zN9xWxwvv{8wjNDY%4R7U#I(x)S&2|$>BIih@~yvHN&SnG^VyQlaRs5smP2Ak$B^8@ z+bj8x zKy@t2%*k-yC{0Qg<~0zjYxfTTKm__b`+SXRehEhk8j9)c9ZuVXH zauYggdLx-!pk6>!OZ9O#vH{A(ehaQiQf4 zB`m=cx~_ime8(&KdMwACTbq5*T~^+41U-(fK|D!!Zs(>NWs3jKd#)L^MHIhDwuW3MIgC>@mZ@-I4{uoO?SWEvx4-DpIzyiRi-l%(FvTor z^N69?NEi7vE>mUX><>7H((zR;#&gQ*FLcL>oTn8^)H+%0Xuca3k2dtnJS8+@Eoai> z<9NeWY^>}oeP#H-?um3AZG^zeh9<8NzuZzU%@9B9m0uX?Z0m(ZQ-aeJG*VVBi}p|C zfhzGv=n5vfxw_uu5PG;z%g-uTu^A(e(DgoyzngWkvCwlG_sK&T2uilCJKu(7X?UI& zU=23=E3LCmn1b>@{%iVNoBNk&hMr1Lvc8|+GU4wUr}rru6VkF&TEEg7de7x_;Ip$r5S48zRu$axCK6z4Kj{Vr3tanP149oC+Od>d3hoEaq04E*mUQCg@z) z%&Q))KCM3=a+%Li4i)lW7)M?v(X0{N-DzaH=>0Wpj^Bvf6*-CG-r<(==qsy??&sZo zdSgp0 zL_qY$(`$fy$(thP;o%(n0H4BGUFfZm!)h|_V*=42-TPdG?NilR*c&$Hw;jzcd%GON zqE^Q*Z^oe7&As+0!mK~Vdr5$GvbQ$?e1U^rhN`gJVUl7XZC1vs%O~sn3#mj_Z#=VE zzVoVCiq}kk6Ydhsaw|u?DS!TPCve4?`czKW{XCqAum^34Mt4thKe+$b^d-UA}><-cLxx0piZf!{oPhy zIr}wJvmwie0sUt6{~mKSWC`9`n?juL z`yXv;dYL9$F-T0B>aT&Mn-#;G+WUog)pj|0-9RB0Rc592hy{p8-7n~X z+g7c?8*_wQMFoYDt9-Q6)6>>xLps1;!%W$Jz0=9kbRsr<`H7C6KEJ4S&8PLy>Frku z1*XD_7A}H`c}^D<4U|bqDYqVf%%KAn)r}I4y3pkG znIeG<#uyGliv4CN0b0$_Fv0||??^mXT*yG>8OT|zE|tgua2>1=~N zJ388r2R_=yB|(1kr=j=T$#%>}qUK++XAjq|CYkW}zxr$Zgy4?x`=nHR73S#YE!VZr+ z9tt0;yS>9^I-jEY_K@U9)5^&NPnJmowi-+tMfGtG<6k7t@A8y2e56!Y88WM9BSB$j z@fuSGiaW5#V&hVEPt>4>rN{so0r|!kcwj9Z)O$MBJg(e)rr64RuR^T#XjW}lzUOrG zMdQF0)M&M)@90K7M{Qw3T4&(rIf_rI5Ed24IVnvSHOOG$X1^H*MDl_R$6Am6hV}^D zCDmk7%~?JMJ6G1y(y~lC=k0}XI3&!;g+n0l1&D3iyh@K)PS>jN^e|Rp7!-M0u688> z>s0hca*5B2vO9-U;5Eu8HLp&BUlISh)@UljI!Q?lx_paua&RE|yv;NvAECs8)BA^Bg$vDx<^U*>GEjm0!8bhCDY=u}Ju3>0^ zBptH(RMe)`LMSKn)56|qTv^Z{d(AbP`OAR_qq{1>zRn4d%IVdUPR1oMTCb{fcoqGa zc$~AuesBuj(6KGZ+X8qOlzmF_KG_$O-MUlK`~HCaU%@|k+P9J@;DnuP+qpWCWpHIR zrG`x%Z7nM+i=*s$EI~krHh>W?4d9Y;M~dlT2rp*sZ$2f5H#8q&)u%dz%$>+dtJ>28 zgK|d1cc-xavZ7oHL4R}F1z;LBzwNAJgM*G<;d!70_{k67zM^Cpct`&EA$3M{2S4kp zFW5}MD~oN0l#0C7Pa9X&_3{<5x`M3BA#KRiEQ-)^-~9Ov_A^1}h)8qSrMj^3&FzqH zn~aGq{mxrBzcWDiaLd%qQ=xGM{PvBcM$izuO}KaNKXm2OWpGP~saBweqErA%j$_)Q zSCwmT@K3rP-OMmcp_I#WEG@M>($wt9y)Xjywo}j6;kZPSHcgWZ8$9!aLQ2RD*`tXi zR^AlRF^&%b=MgCsp2KRl93HzslTTsh$~+tYqyGBP9m+ERA<)BDBfsa~nnFu=*`ySa z`_`UMEXqmQ{BX{Ai4e}9D52z2i2rHBT<~bZ^0Ln(wNvproQ(&E@_CRZX%oK{RD*xL1n3-*aOi%k z-j!a$mK*-G?y>Nhn(vd7y@AHmxTTI`Tt^AZKd;{Fqhu_Y1QW0a0SZ}-dPyF+Zf5}Z zK2wx%Gx`kfpQ_f9*E4TW$AI!1RqtQ?Z6Q7)E4|Y$q$PjN^ZczS0Lg}*6qhF^CK7nF zN<64OJpp_5{(npL=X=MMBiY4mthZr>gdD9z3H6 z+9}-M^6K9?;8{)lZdT!0V$E6W4hmebKzWolx;Dkiwf(aw$_846r?~w~bupCiFf2Xd zB0_@hYvv2i&*JX42MMQhZ1TKdYV!Q6G%Sg;NAIedK;hVm8u8bHBc^|>W_MD!+V4jT z>Xuz}MukSPZ(rDiXZQ8A6vYX_%E@K1XwjoG%+rA6=eHvo_hq>>JYbxu$4n;*k#0pBYqk;r1 zfT1dbs3?d??^2Z(gwR7#iX#Fl0wN^{DpI3#Lx2Dyh?LMH9Yksf9YPCvPZDsPXPjrA z|F<_EgdgVS-h1xZd#%0pIwzA`MF(^IV`F2L;tTUStM!BB2nszDrAEd`IQ-3GlS(NX2)9xvv#~c6K=ltIM!>Efx_^RT+4P_#a2v0 zcmC6xW_?by`kF)r`%{ppAz%ZX5FbT%b|nxfero3Eg1H z|FmX)EcwPtSC$Fd{ttV;*`~cU_Gc9Hp0j5~jg7U#uq{ZRoL1{K8~z*|kL$OX`UJWkB_~9F>6z~k$TiY)?beV99kvwQ7zIVa3#K?> z=F)dZ2Pc||gYqRl6Nks=I`A%EiZ@P#yD`km3RH*LTTckn{_k5g`Qm{5BC;D1zOu9t zv)tQ2W*{8ceL>_FpFQ`xQ*SWdKjzX}qrh26;$61YA8(ewk5d%5V`ukzCnP`OC8F*e z;l_HDzEgGPaqz0Q6qnvQyPRjwy$Gtc#3=uh9gd|GZ(rBS$NO#_Ff}W&mhNQRh#<(f zL!ZwzS)3kS6pXt4S>McF`)(B}ulZ>WHPHkv_Blsv-G5DVpl;=~;C23P^`{ZzcEmI>x_ESf0jlkc&jz8ed;2(81m$_xe(C{=@HU*pg*i zREjnp9>_(vSv`mA<8AraT))BCR%dJ-$8t5*kIVFAa;zn3rYusoD&;Zbe!L&TEhPZ# zR0;zywm71jR8LT7tWhYrYD@lCF7i%@WVQ%6k?)oL&+pvfgw7|NA80dt8+%74(mq}x zh4AJ}-ilr7omVBD%BMVS1|?vKZxLrNZ+x^LyR#5${kbTC6lf~JAgNyL`;%SYIKD_-tDckj0dNr#En zs4V%=vC-#8C~M@>0_07!Y6 z|6A<4vE-ez#vEgoKP0;OvbarlFC@%^z)maYbWm>H0xtO`V-wYBU_7@=xu&BPQta|U z!%z&htt(c`L^5T9v=Dg&IUQocKPNpLws_0%$wXxQ1R5I1y?gc9aR&ecqE;g+riUA7a_Iwx(c$qCVrbR$!A3miJwi45w4k`9Fl>U%uS%`Tqm zc)4UNDF5yEA`bLq?GZ%%`Grzbr-;F^NEBWNmN|Cy0jDp;VWNK52BVjpESG=3V6%!`p)W_!l&t34OYM88z;}4dF*G@GMK#3 zsVo+q{yXeEh%sd&*~t$J5}k_g&qGA3B_RCAip1Vfp6`%yh)Oq%qVqCZ5I#hM4FNWb zRcLZAZqf0TYxpCM*|L;MnVkOw^^uU=!-qH!rRZp%Wb{>phb`+8A(*TWc240kt)eu?!feuK62mCts8+EOH0>HR@|0j#Tp#L zG~N>Llxo*~)966aR$Uk()i6yI?SCT$k13{RHDjO0s-S0w7Mv|uH#i0Nb^vC6?{!(q zHWx7U-7CY3%MYBpY)i)6a?Of9kRE-HBBrz(bs`U&w;ys0zEQru6aK))9I=TLK=XmZ zXJp7ixjOE=+MrA%QJBprb&2K67iArn5d9-BB}sSLUe$rTLr$z~9=Z^lQujboJ$4Hz z!F79wcWCa?$HwPEAqT{}FR;uHw*0O>R)6huK9lK*Wku^ZEU7-2q|LX5cNjG?u!I94 z`$z4S?cMWg^i=la!|Z9POpYX&yR`q#Ea5jzpX*l4zDvvVSyhzo$fD!XH6Qjc?b)jh zd0~2V{s*bUre5WCZ&Xrk{rdG?X1Bpv??w3hoU7_HuzsZV-KAn`4-82vcV<=}cGHYg?FdlDv)$Qq)!&Q^%l~Q%HIj26^LpRfF11c_Ue5@aPx;M8pLE7fUIq9}& zl8%m!TkFYBV#mkly2QQ)kIn4wn%BRSikNiOS3{klLSDTkl$rHD)z06=wz+Tmz(GtB z0E#!kR(aXuqB1r3>>S#U`oI2|$r+arFPoFC^0aQhUL!B{a)$3@u_8rp1)}peh{(I@ zw(F0>BYY+r=-8WsEAg&!c`1LG^`7vgG<-3)c@8n0P)u5hjY!yaXUS>JoJI^#f9D5) zGz?m|n@_o=jE2wGXU9g%9g5t}9E*9N26jNns4}}Ay8nC3l96as&u2#3XoSqN4fml{9a^_)iw>9@R*!dg&|+_uD% zqA_0CV>JuJiqP&cBK}T6{G~MKx*=~#xT7|*Jubi3EH?}D-S*k*^ejx;$cLBXn4nA8 z=NPgWQNG->fYpyM?s|VgcSX1VoRQMuFt)V~Vm7An=`Yw|e5FIu&K4!y)H>?gMsj)xvPG-ogj7e_WLB4Q#IbJUMh~g`h_-7tvXurZunD#3Okx#AUUk!L zO2*2~!Or3=Ej1=nCEk5yZHezSAs@Qj9qwaXE!&H^${pmdo{EmeOlkUFkRNu9|4qVV zV`e7ig844fYl1#yg#2RVJVqiy+5ZX?=ONZS$3^Em?(nA7+$oOKN3{*N^*MY*OwJ=y zTs2imqpu>XE{k+>pfh7ihx!jE6c$YZpZ?9^xMnk%tXNSU^s0AB6Vnx`3%ysNI{bh3 zss^<{R63f-Yvzfdp_V83Rr|{}x=I%{xnyoprzcIEM3bBL;UMGju<7a~ zu>`X2$_x7gz~&wGofpCtVHDlu^W`C*RE?IHt<>k-2P+ULgHGKQGd ztfgZ#xIAmole9Aq^Yqe(d;WcQS^c(M!0dO$py2$^i8HWq3|w=I3vok^R`fpmhuJYK ztDpbXLy+;XdBM_3Iltn~e(}r?^BUrv8}7hPx$zpAt7z~zZF{njvi(k4G8=f*v2Ny8 zXnWize#S2z!zUJ*X^<9jTdF-x&FEzG$r{_e8O_!;mR(T>S@&jnT?E`ye_ZqpTKTf( zyD)+N6gFGWL(wGFFI*FQ#&(!12FKmYb|gvg-j1YdL3LRdHnYv!k4IOOOnGwb;PWP= z54Q7E_C<>eY}*_3@jaY1wydz7S>h58%dz342b^c7IpZEaNP2Ll%$_pZN;Ev)nkJ^z znpSF?nYQemIFgl@mY`LdRz->`S=PE>&~yQwVFxiBa;>;dPTk*RmC1t`juKF?MP(b6 z){Jb<@|<`XRysDN>rO`;+>;DtwhRv5pu+;J(Aj-Bt=xS!S+`fQPQ-u)rBp_b)IE({ z@W6)>kFQt}5=`(Ur6{c3U0lMK^pBN4vNI{%<)!ITh6_gQdgOU|o-v|$ zyNrqpXSez_8<)6=c;6%W2BRt8rLx2_ZWu7V7jN`ngmIc)2=`)UK~EYMPn`4?^jXVs z3_wxG`TD_$#+)Zhy(hI(4@f^ss-4t1L6lB94%5@6%omr8FU*Gaa^@04% zDQQYfuCrjeVbPrYwC6ns(dBXF*+X*L?H^XGsC_rTmcXk5Sg#hHFKs6+HpdRg72MS+ zT5ip9%}X~TfnPfqzf$g+q!Q|d$Kr}6?)qx42s`>TRe!bJ!K^dN>O-xlxQWg?D6(74 z7nRqO?;?kigbwT_FiKl-gufDRUy?tv@QBkri z`k&5Myo63bq9!)EbXOUzfGw9~_LUak>1T^Lx-e60fVYpH*B_-Gi019vvnj}7OYy3$ zj$k~uthBG6=h={&vlIJ0S~h78PCGnaxB{QUWUf*cv1VuM->f= zrA;00UGI$JshOkbtQ}kJYc1W@OPLi4oTN^nE8KkF3zV!pAWg+jQY;s9CMjBrZKyT9 zkIR}&@C-F>*?2XX)VxrqPQm0_cl-G8ta>81WqkUm%W~H<@>+H!KM_yNAT+5i%UTup zSkz((^oOQx?+h-e z@>JJ^(AsEtg|FcE-j4GEkY3->Ncch-DFRUtWPFP0w%2gl@xJT9E7P7l=3z_LF74Fl zW8_%16ybu%6P^y|-iz-0S%o7>Z1wE;nR0ZJSak;E)KcEK({6iI zYo4A}7ZzF5_LLy;QYuWXX=W@TU3u2R2-C2#mQzeZP1^Ug(qo2x?kNMuVyzQwYx7bj zr&_mlwPq&fV0xo z>-MZ@`AoP<9HY$!MMB#l)~ub7;HlgqvaP}iuKT`}IvrI6Q;HHUW3ncr;Kby|fU^qW zA@!Ec&L}DMM??5DG-+B?rcfGOLA#yL%zXbq@^F5;LgDW6vK%T`b9`wv!DMyuLBu?t z8$PfmTA{8h|6N61R(=tz=!WE+QNe&<>}i2EEu}?ebM^(Wv*~+1>gTs(3OK#9Ll{aj zUH|dZ1+lL5&~bLvt>0E*={noN01)-C(N)T5chg0PT(0Rw>Z7k%yF{iR03YUFn%AaFiRiz zMF&XN+mm?nQ~MwE-(3;n6D3Ml9UwYzB3G#NjD zc5;7Z8t0+{j}^dq6u63wwVlEUxWvHEO@7Q!HgEOtacnD5HWwYc;V>ZiH9&v3)Pd{{ zCY8o+<&?D@H?ki{`9S4;EsMNr9>>hnA0sYpegP<7G82@AS@`1A@mj0dsqZ6;x29G^ zAql}~m8yPtneONTX>Cb}@ot~|59+N?ByEdF^s=??m!2HZPJLDH@w(_dVg7~rbDqMz zn?5v;o*kc<$cMe{>9g(kQ$aM67cnO#tv(P)Ly!t+W*Y*CM1#hj-}t? zoe4%H{9WqzI(68-B62(qT{`RGPOWIB{H{Qm)~UezE`&m!J5Lxu_m=oB7Wt;1?O6zv z>96c|w&jBaHz2u1MgsSjvZ3` zP}`--X;(GXEaW@?k!(`pmVS#n&O4#^B#OkN+~};@vm#3E$f)=)xaui5G`o~~bgyz_ zDq+(j+E{~3ksrxg{ij;aiq(7TiT+Q!mDey2BlB$iEXJ zoXs1pp)YwxKYR)6mRi{oT@Hri*pV9@bxU;)Rj3~R$&Xq9OVR%Ut6)@Ct`@t>YYcZG zbXIaY6g*}l)GCcbxC^fePXS62<(aun?-hJ`C1d^=if5N7l-gm56bi1kWa*O#D0)** z{Umi!y6`C5<}}J7{^GXwuQD<+W^Qh7&xf`C;R&ZpQCo8+o29%F{|>8f95=;t1%L$r z9|>9YYxh?aR`JAdd?{_@?PBLoFosAq_k-G&UBP7`C05lOUbI9ibH2?oI9m_T{+8n0 zHTQDiTn2Sb#CMm^)L3uONT!qLz+OV`!Iv`L>NlcuugJ>MJ=JwlAcI z4qLn-p(O%SzLZ&%3kE4*L>OPfeQFZ)Y#^UG3^FDqf16%?VpCX8DT^+#yr$e1E=H5Ji4t%DUV=n+Rj#8Mup9^{u@nH29{iuI;^3RjTUD|I3p7J4r=*V>1`42fwu)a;7d>{pHk zRYv4EHV=CEno{f+=5eUaSYMu!g^1n&?u+hAJ(&^py{NUt^!{jtmCB~@R0ow5zXG90 zS&n=JxK?*hRaJY{RAgO>Ksk9rp?KTe_Y?(AFE6U#v4|^QJ3Bcds*eN|7i$){%dhmg zT5-p5`YEw}WP-;&g6XC|_IG9oILMrKGIIiAWv%;5xbh1bJ0p``tvXb@6B`W*0F13p zki*Lxr)9Bo^9tdo6JL5UORlf3{RUf!ZmZP3ocXf}!Oy=cn& z(W{gq%P%j>p3^QXdmbjgXH}lVZ@8b>=p=0@t_@=gi`?eN6Ns`O*F5*SP9N`8{AE#Z zWp}8-o1px9t(fJYoj&=8LTC1F?#l<`1 zE?K*Pfq?;T$)u^s(Bt0JZ*JxNJXDRlSQl<=tu;ia5m6{C8!+Zj@8~MCHaFys&jje@ z^Vb@Mp7C_X@@9XB4QCZ~pjy|eV^J=r^SOE1hD+ve_pbz(t`uAyu>VV4_u;lr(It>JZ&^Ozu+8SG~zSp)qUT1qH@}v$y9L zwu=RVIe6_-Vs7kgYq|0N zIEHAvlbTMz@-|@?X~<#h-XAzMm|QW{yVmK;5ss2&KNv9ey*gs*qWi(s1uBJFPMt-S z8XEeZgoL$@(xAf(wD^r}`3CakF2ziDEZ1gCp771et~cnh9VNt57knzMDYZr}A!`{_ z718~>XlGAEORg9z_K=X~dIjI@(?TR9pxT2_<8Cem&v`G7f|X$3<$)>GmZb|0Fh~it zNu+=LL8ma$VOz2O=#A|3CrHlWv}>l5t8U~RIf2z<1RhFP-7>W-NrIm5mfsNy9vHWo zH095qU%T0k)vfZ7P!KEV_NA`w>bE8}9r<=sQLFk3 z(vR|?V+)44>TM-j%zt3<#w~$YKN2_Z$Zm%sDdFYCDB^IX_QX}42%hD= zh*2?5s7I)58hyU-Vz#9~DoHjz{3Y)0ySWAiYlOj!AJ2n^m(y~6go?^X^Vg_pJ_VM- zyAZ=U&dxOL9fjveM^1Ukw`Dq996FO?6f)1Ptno1E__dVgE&~-hjp3|&s|gv9MByv? zqt(mD-37sgipL5BoAglZ7r#wiabI-bD=423s1k17zqlxky& z*)`Jx?rT+M-c&N^ZZX%k7Q<|=(b(M-wH2O|zk^{~P&&*S^t6cDUK%voOI3YQXVpJF z-Rw~4@s$lg-$A?I`b9t)wDxH-paQy<+~PiZz&!>J$x6EOxnOMC?BV|(2=d||5o90o zzaog^G8T8T6Crmg;w4QjjBj#-K+^HC?CAb4 zCD8@;GrHdf1d?9iL*%~#y(1smHLbb#IB96rs$f9fF^t^xPe|~_SO)dZc>~GMG%XyccKfY)Qb1s6Z9DJO<(t_1}U<;oP<>qqOdf)%) z0jLN>ZFAx%p$do9E!n`G^)^ma3gIA}-@!BAer@RrpAy8*_!jwp*8x+Vntq`JBCW7D zCirEnDa*cwtJ_hsUIGwE=>uknxN9sDdSLQ=`ghgfWF=X*A!QHW=`q*E#`Io;!fu7t zW}#rJ?B~)v=f9!M0nPVIt4mJx%j7fi6#eCW#5DX0|SBq<*2NHg_Yd)f{D`4wad}*9#v1Id>VOc7FoW$z?jvAq#>~H z;jy$7xj3aUCrT%84~ z$|@H-1gFbD7&U5=(VRXkF8z!{qmRd=*1lx5crAs}2}$G{%h!fsWxg=$cHhf#zYyv& zBj#O(9cSiw6xRAIC_t>}pBYp?Q$R`z$*W(h;adF?RB}DM=vss9G0XY~&iTd)ojjCJ z`pv$5AbZjV*OV=4KXZWqL`q{$)g$so*611QP8e6vIcU`AVqxK$7a7&F*>k2@D5$vD zcRQ#@fD9H0bhz@Ko>T)_6cR3ThD~iV?qEO{r0}|4!Sk#$n=6jC7@2suIZg50g+N4C z$Tw+Iq(Mh@n?K>iZd;=6%bt3jh9VzdoI$Y1hif?l+=6lmfnW$1yC;PLlLtIr9L4wv z$;E&_$r@5WRUHff=(eDS>@I<)h_e|hL-=i*%3{c}{Utly_u`*s%zlr{RBJpnH|x{3 z%mD+c_%xZ7K5L+oara%<6uF@d1s+ak6}U`fW!ZzLX9gpHfHl%?Ya$p3$37fy{OM z4R`PuKNLR@a{Ds#1)(22tPY?=MbSDJZv-fGU$#2*r>q7&$4cvSsXBU*zQkzawV0L%!TdMa z>KB+=&sa`*qplJr*s@L_d*c2OLs4&@%^$9R>}EXo$>Oxc4myHBqU=Z)`${(94zdc= zyr7Gc5J*O7q};AW%J%JNOwc=k)(;J_))c#0rYIrPsP*1GY$xZ^K+2q zjVZTA4VP?}Qxnpy{kF!O)nHw#TiA~lkUCNb8j@e9Z~bC#9XJBKMq;n09O%t1I!Ej( zdC?EhGrf6h9GBl@>D*Q6mA-{)&qxC$;RnSopQC-yHopCR@I4y`rfaw`7c_p*a|2hZ zC1AocCOxFwt3_f}+k%@!p5-_wyX}6&OIKFwV&O$PuY&~L{xc8DP#!NXd>Nf2jtilo zwxx6OPS)_|mm|Gk%udGRXj%8J3f%a8(YqBXNB)BhzfuE_>URH5PQT_0`i-8glR0ff zZ-)raw3a{M!^)`Z$0BZCm;z4+Aai_1ii~drx^!`Ri!f1YB7q|s>Z?EcV#Z-Rimx0y|77Qkt0eW51Bn6bz&bRnET`w9DRr&=I>k7`Xt=4 z#yTu(XAezm7Nd0y=5l;Mw*r}VxbO}nW0o<$ctZdo9=Qs-R>9l}UX_krJ2F@pQf?yl zI_-bjt(k>ES?>&v%7jRFZ_NSh4087+&qYIq z)gb5+8>h=#HDS1@0Bg~vv*6O=W6|V(QcySd+X3uZ2FLKpBlj$=_MSH+KP9YLNey@% z6K{yYFu_HAF2M~7{EQdYz;SbzbJuF>T~c_eQrBhP(vCAxe7}D}=y+u>go)gvwpEw6V;x8<@F-dSJ7+#qG!zSSFq==(gdM;-q6q~o(IVwEWhwIK^< zH&lXm4j=+8H{le?$0B}@V2tH^i`ew#b!G={Q(5B$epuKf0{x~Sr^ zsvEf`jl&iKXXdwA8gpLYi^l<_S}kE4!&!j4O=Bk_b|UQ5Z}S;b2o0OU5#E^vgSqoB zc(ke}Lf+z8{}OY|{~B{oIP0>Rb5Fj~?}lXAlq#1caGB2D{=9z+ZYwzNH9KC+yCO?# zO!Md>5&P~ZMWOgRAhaym52k*CE9qawNRJ59{Qf`h{gNpJyT+y>eABQ%IlPQ z`EJDt8wU-)7v7Tgt;l`DSl&lz@widQVsW_D!GM$t@SDwHrt}f^J81A{dHu#)8)l>G zW}4p^8ngNs`{5ZXw#S$o>y3QDqjclVE@>zvOpC-Oh9No65D#G(-<;=HqUXuhWd(`j z{4!Gl)kkNmN1C5F^R`pTtqQ9v1eP`2;>P}SX{-%7fjR9p=WI)2eeky69@-ZH(fXT*f5D4+yZV?l`NGp3txL&|MnTu$Oyxem&bANLj> z*4-jb9}`87ST&}G$ZYU%I!fTfw^ZN;OmNIDX$rE(i!;`CJMB$4ZUCnBx3>A2)4EB8*-R!qUJi%lyt5cp2`K0`!!%QNNk?(r?`GZ)G{XAKrCl#w=^5R4KSRJO?eLoP zUYq34TKR12n-e=Nw~Ke=S~o;`uL6j914XEpFF2X!5q&CcLWK<6T1Q(G(!QF|KfYno z<@CH%qB^#&F3X^zdlot(&zQ-Z%=-Fl-F>%dE5B_AQ`gz`?=~BW%^PPeaUHz&wFA?W zzH6WI)Aj(5r%h)eo9XwQh{@ydU*UzYwEsHzKw0IcUq3v{4TzAzW#J<6W^`3&O0U73 zp5;P~Ojw5bYrdgRo;Jz5+^Ky{)j8|ms;Fz1gWrPUtZyplu4C_-X-j8?hcE)q{3XwI z)K-2Y@54Yexo^rF!bLq*>+p4(8LYlJ?PsWh`5u@j0tYiCU*h@2G*^u_5=OMcQN!jP z%U3LKC)Q>i>t6jM);*$O9j@|8NJ!F%1=H;9r7?NX{DM@Cv6eND&b5%IpXC`X7iW-o z6OtG@J_MP2{`hm%3JfXX`_!tSY3@Mx3`WwQnPxkh!7yD=eYBcb`7^XdZke4A= z^WDqd)od@)$}={*vsrBRHuBaeYdvUwXg%ml3adZaod8p!D=8r6mPv^~ncbD2pH(1f ztl_7SY5!>{}OmOsiox*Azyg5&%ne-_|i;DWY`IjmOXQf3R@`~ zAXYw0U%q2<>^S#zDDmFo7d*(_KY`rLKHQaRh;%^1RY;tVIxi!!&UN3E@$a1QzNTQE zH@ax#VwI1iALquxLu2d9d-1d!)w-k0a^0~keuNJRHI+x9L~9l^5DJ%SLl8FyV?gl$ zI8uG;d?5`Su@B)44CHhHNL*m}s0KZx=F^MG^~2Px zeoQ!aL8@q8+3tYK z(D``I<@DDYc6Xj)VSfISAEA5hYv+Y3Bh)AyTCz!ez`|HmrF@BnCmF|_D590HmH(pq#J*A| z75K(9GQ9>mY>6V4sI76iv=|HPlVs`3ux6?Z2hH?X30*O!;#^%al1O66eRgw^#$LFf_B5d{M5VR2s>f~D`R5f(#h2wgg^-wV(zr>!dG2cP8lXFmCs zI==Jxn=8Wbj5}N4&FfnSBs#Wb|9E=I5=qCutd@+++lL#ud39KVhrV(D^e}Qb3>0zE z%#gyd;SmSpQ?s`}f^fRR@CE-T!E8*O68$LXE99p8wl_p;TW{0AAku0%?{w7*`Ka&2 zQ77ItEbo0um_P6e#LMzAGL_#a$zWsC$f?YF_d9xJ4lyJiB))Ly(~YypV{n&s@z3n6 zLR=A_CnM+F`C0h$>Jfrb*tsA$xc%eIzAUAJ?~9i5>6!MtGO=*G-;*gxPGk-nb#;_K%5ILyu{Dw1JayrN3zQjxVh;wW~3-GfG(p!dy67Jx*X-YIRF-*0>{ne@#ZD|Hfz0U#!sY@Bs6=}@cD zMNgI>*IE{;ts%lkX&$n4ruEct1JpEyP=PQaJZ`je2a#P8x-7a;$I)G9ppMgB$Fv*u zL7MMNfG|+ajJ)-qU}hNfWF5>N{Q}GcX4sKef~iA{HonpU8eKdG348OPPd5?*Ru_M} z;iyp8@eyJQYH{1UPq*>Mw5iyfHw_O+w+H{w? z^&p%NSdh)^F%Q!PReBaMNjlDQK|cIOvDc0r1Hv+R;hx!NjXeM!CGpvLkd}ZutvvU; z=PI(xDaHq?E&NzDJRZS0iUzIY)+h24r&o>;X2)t^L$CIggV6b9khVhdGBD;=n*#MZ z3EMW+uqIv~lY~Y|L4y)U!FGcojsbAEDmQ2HQh!%>Ly?(Oz^Jr(`p+HtnpLJ2^Z*#U zn#!;24kCsk`%}if(m9vAj61NpLH`=9Chx&bLFQqs^+lPJ<)!9fNfDM05yP!KlLP{$ zE@bV0fyJ-vVxB$|H=d753#^b5tE8dYuRxXmv$C$`uq~c*A)C2Jd2;KPVDv^UX)?d& z+JHdCcebP{m1iS_!=0~{4e1Vl!lZW4G@KuP*mEQ{2WJEN1MoxSJmaQ;WdER7!RhF% zKl%-4qCPua))g*P4QsY+(4GI9J!Y^VLB3)#47l#kY*o1+Kum_QQw$EK{}Ww>S!sWb z;!+674=1DtxrWFWj7Y!qHks>4f>rLmwtzI|EVDR$Vh=0uIkWfowN-n@3S7!2?nnrtX324Wj|3+5TE+EPkYeVj($?lR4;08^i^Lc`9tUqiGl@`q> zAefuL7Q0iQm?{^!)QvKLnZl|Y2q0Gs=BL> z`+#86EM{zk14ka_p0@@tF*oMEZgn5i@w)ww{8Vnq`i0`#V}KgJ6~J9rG6f$1s?-v_ z8Z~-pLicurC%v2YK~#;*EVh;LhIeD%q3^6`K1Bq>2( zel6k1FJ{2ciX@7#YlJLt9Bkn-tMO55{BVo_Hrt6mP&n>!-1(YT{R0mTN08lrX0w$^ z6+-_163aK(Pq5>kO}1`r|K^u<^)f;G1)=hTlw67YCY*T;53*4jq6XT8--RVPjas_1 z&vcKvtRjrTgPGr0Oi*IV1o2}ULkPngGs8HjVdwSh9T#hs<6a4(JQ{rwGC;Hewt5vH z^K1h}=l7NGM7`b=a*b!Wnci837?|itvuf}a3}j}jN27ACT@OQVFA$T2wkh%btoQ6> zO=0PC`6|q2wNZDC9@VYaXyYY%3jz`#5pyjqo^b0Y?pvSvY7>L; z;dC?pWWNJWj~rHF2{AET#3rLmdqiOrB`$;1@!T!!Q5bq$BGXo7iW|_GjqsMa9|D8r z^oU@zawl%kpLn8rlpu4#9!S*;b8+^hFd=6_B*(YiyoN95xWy?0P2dL{ksce*Q410W ziFW)9jXVXy;Y16$zx(U1R^=Xt)OW6n63{45nGsN!Y5~`R+rDOrzL(E`CB5ozrSVGe z3bF!7r8MSZ7_1-k_5599PR*`uhI7EHFltRnK*CpmloQSL{zrkiEyc8u^;w4gPYP_; zh9JTl@e9PB)WeMeE?zd!-$73%;=f%4VWpIRZ}DDrod8FS2kD?SN53XhU1S6T(k?Ur2Vo=vqhjNl+rXTO7zUQ+B^K|M)}a+VR^-SO7FrQZAG19H|jVR_HN3y49gsscuk1# zH*KE=w&O}4<>9yE9;cyg196BqKjXA{V}CfV`#oQ|OI*rdjKNw|@i86HNn3U_KOVR{ z(7KvD7GUSpM>z62J=-K^z^KycY`ddTdF{bJyCpNb`2LAwqiLwEI0s`@3xY?kg)MDg zxz+7Bew#-S zD5{qDG@KD?{XHK!u>ykzC0!MU8-u!hKPyilMvK{`U!4AN4N|J50(0vANzU03piG-UwanyIVPwoGw!dL)M?JoQ#mK z3wdSpe+_rC#oxnyC`nxWqwkFK%kcs8RH(IT4?-m~|mLd$Q)g?n>3r;DX2if|sz->&AZNSKX zj_pBTsh;KmNoZyI#2E&1(-y?hx}kcVZ+dGw!un6VWT19~3g|5*R+FLz=1;CUXfB%Aw<`QN!ByzN__pSu(}Fi~`{KBOe=Z`?d<26#un zOR@Ja5dN&ppnbUSZ3V_9+AdZgUb#vKfl3;v_e9%_zjDjqax$LNS}(Wr4i~;l&KZen zs76=YZ~DaeFcZ-Yr=zE3uX>g>Nymzs74F#RMCi8uyetn5x_vt4Is5&8L2iEITt?3n zhcTdOTU>rRCmtnr(P(-0r-H!xmF0=fVRd`d_~P}eG}DMSiz)r|ey>0$XX*(|psGnD z#G^6jZ#^6_7Sr`q1T;D4-nOAL^Qo>gJ_EEq4`Zs~Uf97lDe8Oy^XLw6 zDFU6$elu>=p%-fnA+if@C(wt_@8I7$sMU#XjSI>H;)cJ7X-5A>ZEmFcI)h3=BQ_AY z*iD{F-cCS%&ns=OTTIvrl(fI=#(haXr(~MrdjxVAhXEfpwc;P+*=tT+!hiVezr}N2 zPkN3K!Mufn#ZFe)Wz61={JFfwvpVdDpd&2ZP7~uKp?hPl9TS5j&xKPL!`Ea+%JS%h zDN#U#!=F)K0|~uLYu^^zY0urt_~N!B-m0#SZ>z3^q1L*-=PR4HERDObW}uZ(3PJDP zIcIN+u2oT2wcN?1Sv7`yp?D=02`IX0=5cts7hg|CL)I8r7T7`=$2v6)Cyu-bwxJ#6 zvxcVXu=VTEJ3hXvjPxj*`Lm)0oelOb4P$|bi}ER*czq4thA7mwT+QwTeyU8Tpu6vY zg*#z04!;^sT@n%A^iP=mqtXs5k|Da@TNs-BgL-42fp`}B@C~4A@dp+=d)F2KRv)!3 zn)A}@l#u<=JGIG~+{8)QTcwN-x5R?JZqv{F8}wTpeOEgBbMW$B6D!%1$c1)md~wzL z-RnLF-9YEz`g4NG0JF7N8nakhP7ZK5*Ky2#^Z2>@8Xwk|WU4;GkRUm$ufjI^0aAj( zB_zjHyDjR-KeUmq~0~v#ZS;R zuQ&KKJ}w3UoTK$(yImn&zX8jGYO7OQzcfvcjCE0Q-0(YW$kY3Px`tH~2)7qdZSGte zV>W^kPeUt5Xf0dMwn^_DzG-k(6oLJL!%Qv23oG~)o80b zHsip9DNxT8F7gfOF)x%~8PCxq^dRVCWy%(S!*yKQP^mw?GS(>6nM*KG-i{*D4Jx<> zHh=%T=RX>*bKkG1tq1JWp99wI=YY+~WC+xAnLg=Fo4^H$Gi&(Ld^6}Uoga%Ng#S^Y z?uqaX+UoKasM8VLI_vi{*QnpawY=V4AW@Q!f7$jVgb}W}_U%=?}hDIjMPceE$X}gGzLO7%=I)e%XM4SozpReee^sDQO(a*`_t&EtnL^kKnvOI z&eO%$1*UoR298vz`#Rj~Op)MVt$_I|u5}V|Kd+ST& z)omI1_n9P(g_r4T^hI%Yj`^td42$hAwRxP6ztBilUTND`G_fQO#l-Uv0SugFzyCui zqcQN(Uor5IwW(1ZCs2`D0|x$lYdwaGkoLH@?L)4XTbtzda3_KC+7I<1h<~rFe*iQH zWSv$g*pTzC@ZzxH9?)$;fUX9YpE=@w->8e{*owPeu6-Ma|-a_ERp970eOC z*I`h$v$y41MrnQvvWe%>I8Y5*6o1Kl6;Wmk`>tB5lUoXh7jAT)GRjj>J{|6ljop}a zNOR+uGr3qx{s_^P^5*!c5Ly>fRqaL8$zSz^g=8ibI$Gw)|L!-)X?jnQO)j*)dUMk2i@%_xP>KV{tb9y)6Q3;&W2tn**c`JuZ9 zcd;u;O*)MH*DzBN22F0DKi>~C<@Nsu`w>l}@~6|Df9<|L?Ysc|Wxw?HW%vQ1uDq;Y zWP<{OwcEf6^G`m97@GX~XQO9qB%_IxdS*BMo=5l!9&CnzZ>zYvsSG%$z>;4?b^@38 z-@SMN82bBh4WnRCd4AzbIIv#FeWo>0c-D)-;THMP13&sSVe|{3dF}2!_=zjJ(=2Zr zR-e%`_o<1%X3)KrADvPZfZz-d{ztoyMMIIzN|F<=7=d02_`TXeD-xTx(we9aOdyvl z3J+1vw=kYs^c4n>pci^%P}G%RqWnxp@3@sW9$c=b6eR2uo-K=b{#+a+)f#)mk0t|sikgqmK^=D&c*!mY)kTkimYCTa%%SE(HVfB zd^3$<|5f_9Rd86hkU^#%`~PLWIf%^d5oAyrt3!d_%4m+w z!F9h-7OiQ*UBgQch3#JJwieBwTY+N#)(X7QLTkx469;~@0$Vm(fq8Df0e65Ez8L1!SZdNcXTNFu{hdXzU24EB~w<|>2JJe ztcPlIUnr+bw_5Hkl3%=9mquh}zxAR0Xpa_>>#H*{mFL;{KaNb@m2*DCnDF|A1G^!q z7J6;zYzea7{2%1QTRr#Ajs}8UT5!c}#8UL|3~*JqTSl9>f`5!$+hf;ujvWR*vkJ4F zyqDj)F&W-I#Cyr~nS;Uikk?hEb+O~wHP9mP5BP$qZIWPK^Bxs{a*c4#KYbJYmFkYT z;iVqR%46x@2pj^Oj`SNm7JO^3ed2W% z#?-F5w3YKngr#2cA@{rlDLKVu?KfbilbMldck^X2m%WV{l7oz zyhxCf_wu|)njieVH~StF|BfvuHe__#=jmKyne@u&>G-J6Dzg6fmm0Kd)Y~O}=x&JV zCPuZb=-kG-`Pm)JF?hSTr&HdgDHT)_q$lr~_(0!=Mt&7sdOo;reO8{1-8kisI;ZBx zu9hJ2B0tUL=QzdFM#ua)S`U4tx3w?dbzbTHb~(+-Oc0~ajDhLV+Dheo4l;#lxJyKK@q@zgh(g{gWkAg^1Q2}Wx0xBg^1B4_X zO=%GjLJL6T=3L5q6VjZ5sL~dm*}2-< zkivtvYK!$&C?23c4&q!;nhmWu4```W(0Z5qJsMAn{=CZhq^GTL*RcmW&2`T~G~@OH zJhcfA?E#jQtwtF&TK^9^#y5l9is#;wKL)Hp%5}XtefIm{$9z&W2_`KiSSsN0iH9p# ztNlQ*H!6>f;j8DSdOPTsekzn4q%R6iJldKr0`mvfSz&H*t3h|)KLdNAOIjfvr!SM$ zx@O_S3fTjfYf)@|&X_@a&O_?}8qp$F_$d`8U4wIcV`MZj0=GD#%vOf{nK;}9z;SreGz zBRbwc+}-ar?eGsxJMwo;lir+w#aCb7&)GhA=dO)A&q&nfXq^Ub{Qi|BH+uRVx4Ahi z+R~X$>{bbBZpN(kN4J=2OD$IZt!;p(&MG|YMefT@lO$PKS}ZTjFoS7Vl&$Mog9%zx z8jU4a77vsLp`X7-&v>!O#d{C(^!3k51umHWbaA;7z#BBn->e;IzMn3COP#T0rMveA zE6m-W%;ClVnx1jN;KjI3_O|Hkun*P#{@0;^(;vV3h;>QKcLy!}1^l_|-V0Y7DH8X+b<3dHBY6s8w(;jhXSx0s#SH~fPK`)07Ev_(Ehch%24;{e#aj%}rP90knm z4Pb)(7WtpkrRHW-(c6U;mNE?}3XYv4xE{@Q4f%uPErSz zCOg!lfmtX<51POPL*;K_x0NE&{B$`TU|nAe3r^#eis^^A&}Kdd)%8EDT-f(t*R-znr>l9;#?iHM;3UD zW}i)kCNOoB{|*78)9%Kkdp(H$#EC^}XB`9>;?DLI^Avt6Sfh67O}a4G*+hgx0|C(a zdJVt}0>hId$o#Rx>L~j3{gH+CxYZs3i~>6I(o)ww02#mYUw!+omS2;`TiQ(u=##&*4BS{wD58c{Y%K3L#J@oI-veudz9=>I;t@Qk1 z7K@7&VRuhL>nnf(?ddrc$5XhU?xV}-owuZ_(R9SK7E~Wy&ve2PDxsFV0b%a zDey@B@BQEJL9Qz2&@dtlzqu4~&WbblAZt#LR$hGb+(|gJ!x{iPaX$SNvFOjzr|a%< zOAq#6A`D=!9@!Y9#r!75JSrF}hQr2nX@YwDdC3yw7hI=n!oh-EoJFDEPmBBly7;1? zh}FH){oXymnw%|mc_{NpgstEPKpTNO*&Gt&z^wkGj`dUTkED{09>Ol>u)=cx1d$cB zbsCM097LrHm0$AZo>}OLiG{qBGKAie2qj@5>0*T$!ej(p^(HZ_zJphcw#k$c7S{hZ?SMMzuvu$!3}J(2^tMsJr}4@s|Z_6qa#0$xrd} zi~6Mid|#%(d}G@FpmZl#9Pj^Im@F-Gi5MP{w%+kDa`NIw4t|zlHY&ei^MVXkg&B3M z8Uw{=5>Mgz41unE*r;-)(>j1Ctx6@TF^4BAFW}uc{trY~Rk8nJPu4jvHUzyMwcHA_ zrhlDLDuT$y56StzJ)`uaY*{Rmo`;ODLx+d7we(KGX?M~+y{H!)6I+bNhiZ3jElZz1 zbPa(gqqpJvsWdz?o2(9>+^wfi5&6zK7|4maT!(4YwXJw`yuCTf2`z(OW@acIBz|g9 zSKi%*{M@f`GGi$oLV0Ght!_`qYmS%Vj1JSV)&*9X>ckUWpC)MJv;sBtW?j$F-gh5+ zJYMVF#Ex>V;=Kt8)dl#%ZDn^9R=Qspl|SH1-->eG`t$tVFIb<8!EVHLvSyxgFqp%y z7;NC*U@)<$P6DJ{CHhOsg{by1>@f3fuWjE91!LgyncESPLCmM6+%<4A@@C(qEOKTs zi{yrh=exj8*X@zGX*3+WncG

HV%W*qME`ITokKzJBQonfA|U&5hBQi9f%+ShrY1 zhGP(UHPYC5;F}=-i)@xjQVRuDQWap_*8>Dg_etEkx%r}FmCRn_s%s-JvrZG(JiW4VCxRcW80rVJ@f&*ZMg-7--FpWA{3Yfdnf^e4_ z$`D;@b#QtB!rYCszPqJRaPBAb_Y z_%44x7{XN+YlwwAYW!6G;4PzJ;|fL~*79&*Es=s?UBjYg2L6ao#CyNw-sDdxUEYeh zlLZf)nflr>Tz%*GND2!;Kuc8 zy?+dhZ&SMQkK9NkpIx`FdMtI|LslAnw&zqn*BPGN6xZjUUVBCBYhQ^DXLBZMkyCm@ z>L}2vOI+AnKb{HQ7Uc3=kk^Os;pW87)320MKB-(xQ+0G7gnAR8Qh z2B?L?Pbq5}CIe~6xw&iD!F=A@EG};jtFD8hRk02P{(b2pl`J~Cfa&78!CZP~W@{i8 zXjYYMi#eR5RZ6yyE_dib*HUF<#CzYaksdxFkkQ2rd{rFa@{3Y24o3d3Qz}4yHE@-R zsPv-){2yJ_<#V{=M{bnK(6XyP4tD%hEAIKKjkccgZtxwoA%XGHI*UwJWcOK?od9Sx zX-|4}91lfc2yk5s@5x%}PB~y<06CxK$BuUc923D{Ez(cy*SSgmvudR{xAJo}lrL8P zTS)KL24Z;-r2Xb3hzh+UecC;dN?I^;#^M{4Mi@mLMG3MV_@~Z_qf)b5(MM0@F}-*k?q7H+4pl$?hPkADwva)O>;(iZa$(}ASXOAyQOsR#7=3LAeOABM z-F%suy|O@H^Iue5%suXBN`}z7|E5)X3x7xMo!@YUBQhM3$Zm68yTk-+x?U~Dx$T>vk^eXG^VZN7 z4@288?G+1!J#7Bm&b|xy1tchVLTls!Gp^gS?w5cU3f?AVdYE2Al)6=&9x%c>#JNy* z1+>MWaFb5@HRQpa>`yPYabJgaWwGqzBG8rk?eaSA-fQ$i9uSb>|CDHGfnS{E;s6au zCx1hfY7Q?k4ZQy;GCuG%Pg~}5u7^DG?jLy4qsw&uY(Z`B5#<6}*%iOhC%HW;u8aAy z#xLOfzfQy-Ai)$McJ!o9gf%G8CHtcnVX+L{=C|B}?w?j1i3jcZ@5WG+soJ#2UcfCx z{#$N=)((Q*^Z(vp*S^PfL*_TG&fNVIasfX8)Ud<}UsX58W{zOCZ-V#>fE6zYl$a33 zTB)5Z$1kSHh0$TbPFAiWU+>hEOCLR#HuH&dIZOj8lm1Sp(s`8Lb1Hb?B$)@qV{C0M zWr|Rn?>kWp4&P#KE9HLQ`o(|JM;Vb|K2G*aUh2|swCZ1asYh+XL{oE4_^|mk;LZK( zfaiF_3<`K;_|v5-qPnYHO1*b9E?!jt@<$+2MK=2K6rNp-X|j8vnc;uX>}g6FBID-M2IDpzkV<;(?{-A^%j=V9aa4#22VNRfYqQFJxT@Nn3kL!LB#LFD$a_ z*8kw3P@r^~P<;T@pkFNF?zS?Z&`Yc*@;()`XPC!9wKu`H``%sqRUIH~E(Yp>38&vJ zM$G5Op; zl+ykMN>Mj1La7BowJ*!xK-~_LgQqpUWP;*!W;ravZi(t_z)Urk7%eeVxSZdT2og&q z!h^tZmK(}_ThQKLN`z3T`cEh_zoCD@&$l_6XxdUq5wG%$y|cH?`^Y6!U&JZ|lH+;kXczw_eP9 zegVuF_z8Lefr6hM5XCppqfbADp5zQ;wg2DOr8Bz?$RjT}S_-@S9?~ig({IJqcKZ5R zIDE+}cjF>hL`dsm!%XRRU_)O+9eNOvzysye-fSyFthX{Ca7HV=!Xag$dQSf7cWbRU z|M*+%4&tK14lWtb`vmg5zi^=pg#*ZRG!Oua^L?%t0X7MI8aT+JW%XO~XF05N_R7y1 zwA$yx&$0Mbvzou<6uaF4r?~7_>NDG{~N0}Zp_-(kpnQ8u=>CES=qp6 zo%~0i_5W|`mxJd7VX%0OR0z}jC_XbKPUxZ*{T+v|_?N7w%XH$F#pan$PhsXA)Ti6;sm~>+W#x1Oi#d%ioTgn^$^p^8?`eoy zB+#wSKqwg@xfmAh^??8Y*U4QUtOL?R(6R-Z-O6hNvZ}t zC392n*8<{XH}2m}TNm7q+ouKm!`Me1S1M?2z|7#d9u3q675^!`@=r%peFRU)f_}I; z+JB_fLB?o0+VUi@(&=$f-&bx2gskT8xzDlkMXu)`I~!_LPw(c8BD;4niTtJe?>%OI zo=lP{VA{EfR16N9Ny=sPmFXQu6Cxz_6DvrTsFAu-JHvUmapJ;vq7RZK!=8}ogFeQ% zpZs-fF;S9oi@87mYv@X(Q`vFh* zH{R=a^~BT|9@hy@-%Yopz_0bhuASaLwe~n*P%$-&eW}3*gCxNQ>hPR87ILu2B!~pjgzU|)Gv6#%lpypSM;e{2VL)$)?TXJvVuJgFGG_&;| z@<7glx#5xfEAI!Wx_31{(SFGi zP4`%90#Ut!z0gT09#?|OKQ>Tb*N4gZ9%DX_ zE)CJ-kK-2;_BhzTTj$C7Gj4NEiinM5dOpGiG<^kb1g=pWGxzDcH=CA(PiL9@WNdR%F?YK!7qsZU z-$tY{P5cS-ZBvNva76S`cgu%u$EvePqsm6u1-;7tt1(R=LCaz#j)=DnnI^d5>%)m@K8RF685nDzniZ*kp5 zQIomDC1IDz>d^U@sy7VgyQ6}zAnO$H<`1TO5mT@uNk+Y*zu%TQTTVkR*rAOVyNJ9e z{_fB_6VPPE%8tv?H1=m3tL7#Qk!k4D9f-unyHNq5em9wxvlnWIE<6mttJbK-pwFkM zkJp*CLp1W-(S@GTgxNG2GL(jzJ)XlJa}yU6tIZj)_I`;`88?M0_b&@zYOgeOik>~@ z))^umo&_6J%O{||FnSb;pXX=K>Z?}~MHM_~eC*u|3uNE%$^PKk@wD36xf`3=a|C=u zNQgSCSsgb6nW*V79aNJ^61UW~0V#e1-jAR--QZHCN?H=&#gEADzz`a8_BdXqfU>Yf zV7%6oz&eg+E~L#4Rwp?{UQiV1Du884IYoZ)X+W?Wio48foYctEK6voT2ERb;T)|9{ zxusJr#7!3J>VWrf0nM0Qz^GUD2kXuU7Tv3@j*K;VT|Z> zG`7Mvw+Jd4n}e|x@XrD-n@&9?6wo|h6JG;Zx_O^$7{9XC5jh&5o$*reL?C}+;FIrd_bbJo z*7olwLanMxhb0tI-8yr|pS_`$S56C-xR?TpRhyKq$nQZ0gT=Yw&)%4q;n{stV{2*6 zCF(kk%Y>@gT;D0Xdy%^3I@Gfnpk~B{S3Ppj8INFvzm>LE*xUX#yICxTf~E)xk7SCv zn;G4yJ^BwG0J?5JQwa=op=io}nrENNxu0A{ASovd^hZCIYUeF#;D#Kmo7P?=;p#vlZ z@lp$B-DtcIDXEr;73tKYjK)=D;zv`hNw`SFj<}MnLAYyN{@@Yp0y(J}@5v?Fs{yGy zbrH0?ZagATlD;Ktq3GlA+dDU!37#aRD7L#AZ^mq>+|%tDN5AM>_n6AF2a!lrybeC^&r>yv8&vt;gKHUom;4%{5hWo^-bI{ zfK6RC@55YKUg)x{#0}S8pr&oyPNg~LG>oRz_=WTxywBP3o=JcVgf+dzW*=-W^~U&g zE2b3Fz?*?2Zu{=Sy5f4XHdlL7;0EKSbh>v!hf9D+Tw<^S3ba;%A9ue$t$M7uX4hpi zls`hxJM7>)LQf^TzBlmx*#0Iy`auP;{+_ ztz}@{g6^|E)R*e@XTF?Y`HqK6y+iFloH>Fj<^IJC2et}g{=mVKcy4+YMC<`Qj=~*( z>kZ-u-wGnScm}%pbGf5)Gvf>TE%~DErBMvFzRzM~dEAOs8yzRc#`6)}L={u}Q>aSIATu5wPtJ6tVzj}6!QK)GP!OAg7mf0TgA zC;K|tyNdU730w_Oy9-F?9%Ry_L&(78xY2x3i6vqrOFf$It6GqN&x9kUujodY)755d zGT<^U4cgJ95jagZvYom!wLpeT1`12?o7BZ+3==7%#b=eK(OvLv9 zQRWSKgrzRUljN+pwTKzf-oKNZZ<8V21Wlk&e-Rr%+5|M*m&V5Ux*fSeo=a#$wUC`3 z>4qwrzuwVs{j){U@kiQCIz2logTlS7N;|@i+{E2%-tpH-o)xmZ%g+jDOj?!4Km2^Z zU1dcG>s^(YJHM4iX{QH2THyQprrNd;oZQ6s>xZll-K#eTFHpX)>A<62oZDsp2X8MQ zN<87+@W$YBnjS(8RyKNq&Q5vD3}mv^)djKa`lQi7wq#m#uMyJbum|}Szq>H8d>1L? z^P&DmC+thASzcgh*lup+_^$pM-Yqlh^^xqp-LsqdP_t}_Rb>gw+%>0ogK8fuILZ^% zB*Hzp*McV`oMfyl>su4lIia?^5Z>vbmR|5}TCd}{ev>~(Jf*K75iOb^qpXDZc68da#tw(2K?UXs{{9JL5?D=P-y9*CH!MbGT4_U{R z>~U$L<1C1|>{l*L;5qQmCP%R!{HdyxoQ4#g_ja6b4S~~!kKZb<5$Tr;n%uuh$;g2n zbw%Qnk&_=5xyK?TrpfkuhdFlGcO;9PkM$vqf0$`%dJCX!Z)Qx~QIm_HD z#x&~B^6IX{g_&FXVZkFM2)krT#@=7rmJY7ZqWtn@aHr+4W;-nbSowKF*r6D%$$ijX ze0K%xy!a_A&fH)@JHaU2Uq6FWxZK~KYyDNq{S78Bwpg@=y%tz}EGq0|_?ji7b_8qV zUip8w{6AR&P53`0g8ffePu&4-m;hBeQ)A-;HtIj@d!3U1#P!r^y@V4_ip_o)>mDa3 zC)Mc8tgIPwx7?C3AAC+(Ln)}Nto+>n^XtYYCVvL1*6#0F^TYgYri3xaL% zQLMe(Zr4iTJwKeqV~gaQxnVpJ;5l<#|8VId{Fr;uqu-B7XM` z0nbn_uLHB1*Na!WP=nk_B__Eg9QTx1n2=!~)k{>_Atfc{G4b{6K3?nA(fcxl`5V0x zMi&12ppU>(1ui%rgvChI*4Ad{AMTFay zQrrplnNy+{xIpXjkq@d(DJdz5wSSeKmvh)9@=5zMr!;s{{)vC&r8T_rIXK)dqB^_e zR*-aVZmzQBuy{*moL2IYlI8hgFJF|TMFbepU;BPm9@;e>5ulAr9!Z2yf|gx##UEJ17M*qW=3H^Xrhm#3a=a&Zn8+X3B4?d8e&gGpGWz66fRW*lIWFCI zI;*<{8(>5mGA9pD8eB2_A>Lmc`-gZjSWbuQ_j^US)z`2sAt8pE>02|w|5)Cd$4oNq zohji}GYK&cd+SiLouO5MawfU;_W34_9gdpTo(em4QBhp&I=^aL?D|yeog#kV@OQG+*ho5wmfR@fbD>I zf%=A;eG>UPL0k!IxAH>L(QuTdf&*X zZ(hHeuZmCIZ(mk-@y@u+dL_@kaKEuH$>SgTR`*TdeXX(CDc2kioqN}2Avqk<@`_gN zVSf6l?hVz{7CZBDBqZ9Pvsd@Z>b%hi-dH&|NwvOub&t_>N!9pp*!$ z&tx66|5KM?|GB|E@58ii(^MT!OCT*=nu8Xtj{Q|Co3c?@i684T5-OzVT2WW0^Sv9V z3~5BPjAeuqDXVg%6VvxlTcpS?Zp2n@Bh9HoA8D&LGn#nMFn4RD%ETFACHLKGjBm+> z2&PY0p@;a!QHLt3sOlD4JYjtNZf<=W7PY6_lCXV!F0(fpKbut9h)B8z*2u1JW+UbY zJJchEgp7Ji%Wh}SHKf+ODSGt~f7{t0<@u4r^K}y@zCk7}!#XDjFNZmLdtR;ikMb=Y z1QhB!g`nOYFr2C6J8BlMj#860E94gv5^8i-=*z@(hk_?KG4LbLIy*h=Eya<&a@gKn zZQH)mvgPC5{X*k*C~t`d^Fra_;o&24a+`DI>Sw=ICFoI;47e|Cjqvx{)R z5-0nPPY7k&pVoAOD;E@CUbY+$Kb9}=BCeS>7;yiu`Fke2*l$aC&y31lcqcPBDNAPI z{-mu8BKm%!4KEnocKfih5UoXyk{0FrQ8U5%u0i#;K4!KJwq2&#lD4*DqZMU- zV=v0bb1e~jyBA34s@7H~Wtyy9oTo=0E3S|BTi+InK$w_FM}d)hsg4HA=(hY8oS&gT4!Reu1RO^ zjB#eDe4{axzmj(GGGj%C#*eq7^)XYvG0)U!cypUIH?RI#pWjMb8-!(Phoc0tsof;C z6ZG;~f|?S4rmd8S|2(x%&Qkz0>Rd@cO^y_LPE#s7TA?6!q_Ztl!&8VZ;s#fXFk?EG zpcn~$?B2-U(ib3BwukXaXI8d8(Nbv)IJoUvQs|p(i!>nsWFhk&SsHSfs7e!fp2xPBA$O3Gg>uJSs!93 zr`F84*R~lR4qH{jx|tbDbv}5Y(9)d!)*>l5kcDVsZ`VYvPNJQ}b#I>X-JZdo4MX#S zob|(dZOZ-GZ}6lDa{cUpl8|Tir!F+?W&rC}3^mxw;A|NSfsddqW2k%`rbW$LJSW^H z`i?0FvXBH4yZOQN0Cmx_x={a4y11k!Ob(ZPu2MPr|4(>WIj>K zsMv*#$l%peb8D?ZpWyk!;aQ^iom;6i^|i5jZy7XM3qs*ARx>+KaLe%pHBAsb?>(Uc(@TS@!S>98gbK~$}i!U(V3Tr zF;u`J7qY;A8_UP%3A0m9_4-MocbKPoT3Rk=g7z^MpS4Vh?4Y`C)%x*Up??j|ZMc}U znzic_Wf?0W)Df4(8)~oef*4tTUk0!Am?aUh!otj~E^qwaX35^qZwx$oEAHLPVj86B z4Yu=|W0S5sxJl~87utCVb7uFz++}(F9)Wn0{)@rqomX3HYHIYXN(u@T9>T>R|# z)ZL?B*WM0xu(g%ofUKUIt6w(SS4!9T1S=7 zojYf};kHn?mdey8jq%yO$m*&pEoW!vif$a-8r-Zqa1z8WU$-n)J&{*YtdCYuoPvD;|y}3Nwj+ofRR1TQ351dZ73j-Bbg&msMgf%D)yEFVF(JOuH@n;PACYZ z+_`gSwu}$S?>pLc5BzSg5C=i41n(I)w`zS#@m8V=vpJjA)@W~rkm+qO6P~Nnue^<- z2OR76m$X2QUFmAiH!a_uYw_jk^-tV4L;+nxdwIhQU}7t+uQqFbqB#t zO5}K;VwMGN)A~uF?AfB)Mtc`+5ST|@>negVGgad2N!C|q^h&&$o*qu{J>Dp=U?}Pi z)W-olyHz_>1hHGH~cW@4SY<*$vj`^E3K4yp;N6kF+lD@o7D%e{u!REqD z(W^TwW6X#VMr$*a%gMT){o+W?8STMLGLcuEn()mtu|Cqqr@rKkyfD4CrlhcNyFk*a zi@=KiTzB`i+`J2&aJ3z*a-k2s>s}jsu1y}ZJ=lkG&%W+7P`7hwY_V=-mRs*l4Zf2UicUSXB2z9o$8mi2+Swrh3Wtra}CZTB=#pw31>W$JB?=@EU zjz(JCtEbCoTYHMP_C>uLx_!=L))OTYVa|&4gHL9yI`ZWam>)Vf(2_pUF}KI{R#wp& zRcJA#uWi;^-M0_M`p?8on+1e#X}D1`IvsGQR%(n`d#mkP7w!_0@a2lu?CzpA z0yS7*7-Q`-dB)id;HGEyh=I0h8|y{GV-ecD11aVL_0x&icKB4RU(Yu|Yt~GQ-?taG zC36FD9&;1@km3!uW+phKii`QkWBPg)mN(A!B-pFE%g(SQ!PA^AF$>>Nk%eBzBZNkw9gjOkQ#)ynmjv-Pb%?X^;) zzCP1l-ZY!s6=8o&{=o^>gU3g0WN|iYuVc0K_?Uh}kedr~XmZ-?N++G|+;DVA$z_Xs zqo+*q#wZtQVh#DK>;vMJa>`8b>GU0$Uib1KT1rJpq6$#P70fE8H5V=vF!Z zB{L*bt1FsPS64l%op{e$ecY?AUZIzjF67~URl3!VuTpEWOlkYaJ!1jM0?`03uI4+e zHCc_Ws;9AKO*%zsC0hkDt90sAS^b4mRqbtoUmx7PD3`iQZ|)DN6rJMRp)SHUe&q?5``!JI5fl9Rnim2x)C4w|Uy{vGsAO zDp#NM93Nu@I3xU|ebi;yA1AalJteLUH0#=lA|=$YvpU~9v65;f**o9^cD*Bft$kG~ zxgM|PkVK8{#JKyp^&#Ta?tA5t>H^^eguF@ph`oYoqoe#a@EqN(G<^Oxs*qgv5rUEU z4l18)Qdn=@iOcQ;f!C)>d#4{5M%;ev)KqXf^{$@NX0FK3MsLIWtj3lnniSRb#cm7; z*n77W1fCDLWWaD7)Qt&3HaRLJ=NIh=SG}K&pkF+O5ed0M5z5Zr(HX8)(XDX=-g72T zIx)h&fxMZAs5*P;I|ZXGjmQ(g`qoz{i*`j|D1nDX$n$>;9l_YzT91_8J^~*Mod3)S z5N0$PT^qQ4PQbK2L|Y`(ml7b3^kSNr&2|}Nt76Y-?C=#j>(}jU_nM?ib~EAca&qh$ z9T^hdnQHFTc;oe{Ih!}8S2;x^?`F5R1An}4dF66nX9L-3R~gOgEbmPtL&L|spD(BH zQ0$(!=u#udTyq-kJMV|xky$@&@8YcK46_nBIUzwc`X%S@ z-D$|TO*%JJA`3_)*31+7^vmJPFS?o<K z@{wJ>4PjZKO>o+}?$c&=K zme~lSzgwT@Q{FQcEQ;Jtn2RI4(L6C<IFB5%Tv4_DR5!Ad%CGW-!4>^~# zj5|C;iFW3Cdcb`MtGFcr*}dQfH1+~bDqK2BgeSfE3j;@C;vNc9`=c!l+fJs$jP3w~ z2fZDRf8_tnOJBQws@U$tw`hxi1I=1QRl3=zi$vpiUv=NqU^5*Do}f;3Qnq9dp%%V2 z6yx@eShpD(8CCl@Kg!I=_?qa7u(8>f2%axqH%x43Xid#H$$X|JgP(nQ3R<9*l@v+^ zM_TB;Ux}uS6pc&CQDdcwzhJXBC4~jLCb#$0eygOdZ z@9w;wUs95Bad(RPp!g7n1$cgNRgrdaUfyTVb~9s1rNha$#UE+&U|2-OfBVvzLcKInhGcvq=SE9lQ6b_TR}cSlf@P>CH+k8(J`-2>bVRL7z5X@bwKj* zF$Nu)yJnXVKZZ0ca=hzlfh+yUM3|EF(RE7q`>G?gD{y6Q)H6LZq_gM?s{TW%j?-;O z&!G~BC;dCBWY?vqr_;N1>L;(h75$v<3bX2(cLt-Ke0G&AUhkMSwDPfns#mMcS&Cqb z$lCZVtJ1<(=*6u&;qSN6Xyg_l38KR4LeO%IGP- zq<)^u%JvJ{ZC5c$yY)SO;|ZdIKTofP_|4V+BJT8W77_-HvKY^}3#-a*Z4HbWT75Y) zuHisZ3x~6n9jp>qWw-HW5`47iL+Xo0oj5DB+n^x(PAOU38@>`Zaslfw?1d)HAqcF24Kd2gduG_g?!d!H z`d9RK712Vud2+M;cW08+SGiqYxGi81$5XOH^Iu}8WnNF+c5Kz9p5R(VjMwQ=OTL2! zYvSz=ers?E&|OQGsT)~1;lMhCPH|BW@qx{MuP60BVd;0vi4~F!;z$(u=$A^W4&<>+^2Kv}N@AFe|H@vMQ zN8YheK!_| zTVWV)^ynC?H)eT9=bxKk2ea`4IaYdKoERue^Am5Yi457#OG+jRi&tmNf8I*fh|t# zQP&8!~4w}rvB54^q!EZQRF{JR%1G&! zV!OR#dxH~goL0Y%GLhiv89dTJUC;gSyeeIH^*@_d=M}t&xs=DcdcJf1X7Ar)#ty%$WBm-=XK^r?&buU5dlKu|iii+UJ9>3- zF{6$-PhpMv(l&sDL#?f?j~C0nr7Mih&B;5al%u_qMcLo9>A0B`bl_=+#d6f8GZg+= zOID-kY0U~j{A^`nEpjl9a%nMpEv+yyF{#N%(s^r>yYVEX7~`)}_E%F1U^@L?(jwR& zG5D^Gi98qO6YP?43j*QxYKwT5yn4g0DghDGRF7M3)j{$(4%=cb+Pnm;v@3v$nfX0|0 zJ%3>MwAkuMQGMYvaW;EBi2Sofmh+Wnl8<~@qxZi}-}me&KHWNK@zjo-0k%kMA{tVL z$7yv3Ua<5))3R*Qqf?z0a(s4VJkC7vWTD)ehJfz{MH#E-2%8r|{lDB?t!4Pmc>Nw? zB{aNgyTK`FIk?cU?veZ?G3GZR@QS9}qMA!Pc@2rr<2m~bq*7NSCIx9JDf{JIX>UtkR_nBw9SQ`&R9C+zc;gGP~B?#~kUjGdDq z2&35Tc5+D-(68)rb%u7z7A@bMiT&3CHrx@}YpyS3L8iqUZcZfvRPfxSgD@7Yx;2lp zFR7*vt0@vLNsr;SANAzaH_x84oU1{g6X1Q}W|DDUM~y9PtFBSrqA5D7cL|K2qs-e^E`C zY8)bMM+>(z4t8EtRhx%Bm&7h=S{z{e!zA!`WJp=sokWAj+#AFCro-I=#a+7!vnN@- zy}gLxcvZN<`1EE;y$ZlIHP8_nJ(kRTOM~9U>osnS_g3PEy;kEKR2Coa5BBkpj7)WV zt{pSWsFT02@pXfL9y=UvY*NIVqd(NH78{{(zOvxrS0(arWNu(*we*dRHcvb{m~(sc z`d>}boNqhSu2v;9FeVo`?edo@0i^N4TUc0(Vv(c#eh=b0ZO#<0jrWQwXxWLfIkr;$ACHb477JtKmYa3rlM`fC$Rk)8U|I{d7_%PAi906vxOe zIq}UXF*(shLBI1uj``CI)vSWr4&<<`{NQevmuFOYJ5~i7we)qN@H+yc2ohkfQ-!`*>+%P$`@o_Xm{C`uv!pmL>9eH9qC+lNi|TX3inxXp=5S6Y^)A%>Y?_mF-sj~H|{uk4Q@wR6hn94Cz_#GI$+I@sm=hySR_ zJ&58pn93D*OHzpAc=-p%))gLhIW`vdl6i>`S9@EpGTtYOK$?4Xr`sktJ-PUc*|7`} zBV06&yt`lF!^FXF^tuKy7qLu{(D^+TvXu2T&b0C&?WkkDB_F)`k~i4;6QqyUz?+tr z<`4-VL=Rkl6a2DY^+3`tXopI_p4+*ytJUe4dn&)*#nf9Om*^V?u1f8MMKa9pnz#13 zo;y9H>Qb>Q*=ck6+u#cX!AmKkx^S#WKl36XN2DKaP0bEd+qJ-~cvV8+?08?a!n(pt zl6_Cnq1E3KPxTM}IjcthZ}|H#P5*wW$Zx=E%0 zzV2%X+^tIT@UY^_>u9@e5}9$A35Zm(yMEl=0+L$u)08L1jeY%Z(lV&W!xSF+)E%;U z>WxYmNhTqi8*(WMtXubUI_+YoKhbtF63!(u&OH-TC63=6w~!6PU(BnUD7a@qJWn%A zvqbIhi}BYQjLg}vtpz#N1f>^3z_@#{FxG&-hC`A-*ebE^)zsv(qLha3rCF z202D$Z|}7iE^@)835u@Ohew2)5H>r_Ylw6qKoyIW&ph zf9ySayVdgH%+YUiPrXaTTvTemOW5Efw~jRPT!p4$#V;2Mvnte~n@}c%jUF7|Fmd;} ze=E94w18tByyfv?&z*6pJS(d@jhas7Tvc5Ue_WlcHf?k#c0-l<0E6RjWI?}5if7-> zx9vtlNR`h?M>%j|Hiq9%r%8+X*E!*pMzr~+sH^1>P9bO-+F(JVV*o7N)s)!~+> z%^iO9oD$ZH(a^lItC*Cd9Whpd9O<5slXH;l+NfTv{eJD~7%$xW>O6&RoX+AYqurBU zpUBB>oou_5rB_e++}edSqi zBAsbC9^mMvT(=v;>}tXj;RxJNiGA#r!^2mn>92Bz7SxI3j0El0{#)E}o*(vH6Tijh zI$2uGKQ7P4zahgHoNaF1`11S7eJ*<)o&YOQ(>`+4H=bnFf|yxf6Rc|P)mWf>z(&=P zhg%)6x@4P^@p;$hf;8XE+ZJD1Uxld%e^tpfgLkv|oV>mt8_oSNK6y94T;!f*b&d#C z{wrB;jEiNP9bB9A3gLTiG>O-=MhC4-^9KrjXt@pE=|+@g9fK-i$D0!IN3Sm@;&x1 zK_cWbagSARh;qafqY|sp^GB+Sv_Z$?CWqnc#ui>!mCox2s;|aZ?_l(YL3D#atBi;UAc*d6OMC`xn$sRHxk!2Y);+Q(H{P=3eENLIQHV!zXUiI=Canz-0Xw3|Pv81Tcbv>8`d*?f zAF+0b=3I7uR}pxO;`XCi=U>TneQWhs1Fr;DCMHiZFUX`ndUZmW>Q7g;XjzD{x->1D zF%f?(xiB#&vT-g^_WPtWirX#^YdP{z{AScC;=#N8T2(e^^_=L~0PYNT>kjZ2$^|;2 z%qz!Ula9S6qa_oV$MjOVj^|)W`H|L+?HSWC{U?fVH@{*{cS;Wy!(E!uD#Kk8IZy4n zj|v$vb#^*f&Hw>V8g~x^ahXf{7u0tuatt`Au@*$nRcU>^DEKZ6E5M}ZGh)F%?V@x5 z`RpI(Ib1Y266j_zfB;i$JZJ(LVz1qnipfRB56qQ z?v@Sm6L)VW^A<47Oe_5op2A3 zCl1$dG)9TApHlFUZLwoLQ^>MI??caehrXN4UN-@{&DDtwW&GeHW;pL?TeiM2hN93?_>l5~zkN)?x@Lu0|Qz%^UD6ZSS z#GOd67@p~{Ozb~f&7CDI#@5qjG^5dPk4dH*9g#`NIke23>f`X^V~JQmcA8N1gxfo1 z0(WUCNsV!jy}`~H4#|OMhkLXhcy@nPt;2Af7}w)+?nryL>qEiqwyO~xy6eKU{kdIr zfcyO@zp2pktLy*Q*15+sxyNyQ9ZE?$g&dWr$h8!y+%_tg2vNx8B`U{ROi?q#W}TuW zPVUzcUEEfynY*EvQ?k|8R>*BC&9d2uY0a|Ev(0h*asGT>&+mDDzu))y{l3rl_xZk` z-_x<7^-*7=n)AFWf#!kCxOiSB*8^+3-LBeeN)X2E&~4o=A@UZ6Y-Xum9l94mQSqY; zS5C{{fj}h>G88?EB*@dDk@&IINpkCBpuUERe4#1Rl+x1A6{7mW7JHe^|2tJJxeOG3I^%R*;HS~A0LRzX;xRa z&Fh9EmeZ^ZYi9-FmgP`v8v~Qn&o~D^=DDS=f zN&#>!-9SbEl8-vZi@+#m0{}W0wee8fr+cyu{=G}* z^$P$<)(#(kRd^_NvVgH@Ss*Ie6LeGS`QqUTooe9!O*NrNq(4MDP%xV(+S!^P+jTIs zdj52A&Z|^Q>k)0-rUy{kUBtWSZ1*(e!>Hq2H%x1L7RtVbGqYR7{ z&4nHUq(Ex_NcZu;JU9f47Y2fBBB(Lz9I4fHOfs&^t3k;r(5$OwsHSA-$-FF6q2e}( z5;x$445emBDT|3@G-u3|ith@4%;doXkgE4^21cX5g+cC|Nv{M0IT}wC8BgBlH}?w% z92>CH#fFCFZW@W&n#FW^;SV{LZ!c5QI6HahNnIf$ZCHmEGi5uGSQ|$py&`y+(1X@qAUx1^$7`WR)DQ~@ZC;oG`6wNk_Hy;&hVM`^iH ztY2_}t0DQUt@U2g@zPG{z`wR$%tVx4ic}YV=+3>EL*K>n>wR=a)EoCYw>NH;DB_wa zx6$MPEoUQi9qja5Pry`%AZ?H!qq`o)m$8`Wi)=ITYFsW zmbYFPCJjC?&^KIgLWg+l8ncXcc@I=fO`zG)P}89~m-DzLgehzGmaeL`A|E)%4{aF$ zgekV=?s+ilGc*E?sQh|xO@d{X`Hf0;bDnYG{f^V+B`uRezc>;z@diA~2bwf!BkdhL z_evRQbm1%dsvAAwoBxrLW3B99Uy)u<46UIxYBr;!V6M%VF_k<1jB zx3`2(&%bAw?-ki~r2+3%R@@+yKE^WPb}YFeMmZ(Fwf2-qoOiV z58ac7L_es+@TaO3w}eM;MDJN3$E(*Q{%pq01y<-R%DR5sjW^g&Bt^$;11Z}A%68J1j>?cFz1ODATV54DQF zvH}2s67XC6M2Mf0Ge`J_~zOvGef ztWIK1n4L?rz?f@JdvKfZ_$)9j9LwX)4x=r>JWw1A24mO106^ZraB4~Fx$X=ej@Z@~ zl(yDa^zIph!LY-|1}&VD?el_((HogftLk46nKv0&k-|uFdcK~we(-=lM3dm6j4=yp zIuWV&L{%|oz%N1Tn}u4Nv-I(U_PWlPkl$&85R!dfup=Sbs|7w&@|V!5)P%kqeIpS6 z2ij@F3UGn6G^Qrn)7xL6XMW|f4+K*0=z}u8?9&!77+D*y-+JpQb%J-%!$O6H74Z|A zAOu*gDO&PF)K_Q`{s{oTU8VAzw8&Y4Vkd73XxXnnAr`{oXx#jSY>j)&(c|dj!y$kE E1Fld3?f?J) literal 0 HcmV?d00001 diff --git a/sim/screenshots/post_parser.png b/sim/screenshots/post_parser.png new file mode 100644 index 0000000000000000000000000000000000000000..63d31ab36a696b7bdd03a185bc5d3ed17b39dac5 GIT binary patch literal 68390 zcmbTecU)7;_6HgZq9S5Px*kOk4n?YTI3Nf}QBb5Lh)9PZC7~uDC|D?pH0ek$2~q=u zBA}r39teae2qA`x+7ATcI`!+dZ5UUjFM|)fv|lZ= z#{_=8{^Coi>j^&X*C+B$+|1#;=;?gn$%(4|@sU=o;L@VT!cy!edL>9hBt9SO(P);b z3M*|a)nut8rD=sBA;7RepwV3GYG=cQWEp!?$Gq3+5~igywIOnR#&Igg@b6(HiQj3W?XIs?4+jz9|UXqfqP-TY8p3LRD7FT%Y1Ur zO0$DZ3K8yCT9!T!TM{#!-y#o~B1U`GqK537H{Z(Ey|}(9nY4M}Hco7r1xdj31Jtx( z{isry@V9xvNe@Ib z3(obUB*2b7ek;qh8r_*a2H-FdC?PyIb>C?3i`eZUb;6d5G65}E)Y_o(LB^^jC@p=B zy8new_T`;-6)D<3ZyrkwA`c)-ly`Z;%5h36lU@vA*Dn(s=BImGlNCe~r&uq?+@1?p zvai4=c0GaBi$XYizBh$NOm~TPpME25CO$n)QCfzJTOsdTC($1d2w>U>M(=8&2WUPnZ>)B7o}>pG zz*;Z2PGwpgLgO|MS2c4IgpUmF2ME;qXyi>dfbWy|9Wt?>VH%ef8xlqq^^^4F_k%86 zJ-ocX*xIy}U(qZe7#i^Kp~hpz4pK(!6x39qDbbqD(uv5qy{n4zLbTgR7k#VR_J-oT z8`cP$*&pykN+@bdR}s_YOBqLJCE?|Jsr@U_Cm!gfk4G38ISja%xB82 zt1lb&q{tLq1A#Ku_kqIG#2p#E(T=;Igd|3erBpMZZg{8zboeLwd1 zXAtQ176&00~hJPu#f0x$}OuWOGQ*Io;D?p%|vMZHb|G7M3@c)~^M^9sN}Z#8h(9h7;>n+qj&RT!E*G%*%Dv3VUQW#JW~Ls`w>~f0u9ZwA@rl zrz4$7LnH+e$29tods;MUFR`B2_=@T~6Q)4FJX6GLLNuCTmv1e@y ze5fes)4R_$P{`O9JltKZ$SZHyB@bOhY_aJJZVaN%`{^)Zk*zY_RI}+#>h(?3Z<2bS zdv1PSU?|?W{ieQ()a#@s6*z4j?;{;{A13D#ty=r879}ZVkxG4lN}rmS!l>)u`)nW+ zl?l23ZtD^p*OYBuLl)NX$+9(OZ5&=k@iadu~EjYT*yLH|vG2sNZb%xaew$ zCPr79T<4CY7RJVGPjTQqz?MDcWnOcz#m5+$&q&zYChyBZ!U|o3rRc ze&0TN)k&NopXl}B@N92<2RntAE}=BsOIAdGt4ZIy@ZiY5XF^*}Mf8uP5b8Y(yR*V% z$==4QS>ZoaUr@i@tHqZLHz&^7jl0)8QJfo}K9mHRO8P!(T3f?y=_u~(VSA;dxg1ss z7c%H>Is5P4%%^rC9nj~E4}F~GZfIm*V7S2~F2#VJjyx_f?73j#e$V*~{iUBZVcHUV z&$7`lP-F9K^2LnCD^eei{kw;7TgXF=T$g2=1Fh)cNZ2Kz$_aX=ll z&YfopiO%J&7Lt&%A9V5wiMR3i@Fh@~p(uk6vfBk; zPL^m{E%_zktA^Jm=`SvGXcIQ6_5WF!r+qziCD#!S2>(|@;9q|>XH^7 z{ISvLJ9}7S^e>^=QUla@1!PADRbx=QqMNY+v*3aqt2$lLSrsC51<){mm+)X-gXy>! zaQ)KrgD#*t-QS&^;K9~|{zq}tA4>au6L?RE{htm0|I{)6myj#gVlX5Bqijk-HUCzC znVFgHP-x-B`|%)9I4yJ#<9axpdbr#Xe|+Qgg?7yqD~n&cy|$vc8SJ;vdt|p@|M(>k z=$o3zhEzE9IrTa0*sqp3z9NjguYnKQ^aBE1pcv0ku@Az4${}up5L^$`_ES>p4zLd1 zOg^;!s=wb<%BJS|y?vmcnID4>fcK;U?Fmg{#Bd-!qY#+GL^Pt2D^=mM$Qu7 z_Bner_GKhrE1j?EuNa@Im`S9pJCv6d_f*8gK3Lu{np~a^pRY+u{7#37_N1UBHM12% zY>Fuu6v`waaex$krLN8>;m*5TKEV=6!_y7VR59HBA_+nd#9p|;jE4C$;rCX{= zMw6RT6~LyX^#{JHtju_-&daY^s-8-_U2zFBZ#>)-w0;WLl~5mct7z)-yp)AS!kSI} zpuL(+iptwcnXtu%ztZieseYT2E*_)BxB0b@p?|c#Dy4&!y=-!cXxo&SxsDtrd|gxC zjdCs1)Jomd*j?+0uXOegzxahGHL3ztXN#U$Yl1GnQv{`qYNxGc+K^8QQuaSL5a+`t znI5vLfS}^y;?j< z$I)QQ7G9F#TX?5r@VL^lX>sgi!JUJ_9qzV%SQV3io)vj0^2h6^YuLud(P7`lfnd@3 zPZt!+!Mmugh9?lKhX?)oU{vYq0l{>m21s0}X2q>57IIRT3{j|V9@Bvz>+W7&W5Wf; z#u5BpUS2H+d>tn1ee#r`)8d9{*L~h!G0hM+w5f4@vx)bRL44=3KitBSS(Yfrj2jM7 z9nZmU*dP@r=u&F$Zs{9{5R^Q3EX?}OPTLPY4;JE!%WTv$Q%Cqbnisk57zMFzkgKFu zY_cn-83w9^LQ9E>P6xS|8b@|^?g{g{iujbZKB~N|thsvFM3vJkQ&lpQ5aFQ-wZOSz(bAUO&VjVxA>qT*S+k{=5c4M{%3Q0g+m+DX8!~3{5D^*m4jWRL?7ym;u=JQ{9i7#xg zlPCsSJGaLousUiBsn_ygbFmtYJ4+bKKp5L%l93fS>yNUj?X;5JqS=a!_=GgsDr>U1 z!J724oq_0z(Xi~Q`^vj4fyUYT3U{)7itEe~-ss^g@HX2^ly+LD8f?d3quDu@rfMBo zuM^Q2C-!k)%Fv?OGp>hxhI~$P&vMX1`JLI&yzZ3mk4M#wWuzzyyOp`S2LznwW8XN&Axp%j&1zt3KXK7?pZ(h=d z>l|aa3xirWhK+YRMA$hYE8CH9`tjn*N}W7`g6jUAoH*4b@k}`0EqLv#*nt630%v*w ztJ8HhyH2m}&rbFHEY~4UgO)4k%ic_rs8Xj0qYWTTy0sF*i|&% zWo92*iL85MxO#1I)jP3`;5ITWx)1Co{xLoO8(Kv`>lU|D9I<1jXx#nWn-qk_%<4@6 zhf1#L25yPY+bQliqW6Oa8|rQ2b-%e7CeL7RULm$`OO8>6L}SV^!It z$YA6c_zmZkJhX1&yjU(vB2)6l$!WUZF}qWv)MY`oklOX;G~851M9FOq>%3I^(XRJn z-8D)PjjwoR#*?fdU)!Dyz^qp4ReI?zKnI2|JgBR$L_dVI9}&|Fo?WPQ2P9W!dlUyGA}s z$bZJgdmJ3TwyOKeNXK?=7IRI*wG%y=f>yD&Z(P`&^^3%PLlY`|pDFWAscBvY{;J&CQp%5#!lNQYL ze3@oJ{-LYH3xuuQYr%VMv3QS|(Lr(6w;FwVxlIW=T6wXykB}BRdsWdQTD-^XFJ=%6 zdaG!pt_@6KiOg+fRdUT-kt&u98pwg!niVl_ys1p@a~@Mq-S$Kr%LC_06PtGRozdGc zse`bdSYc~&ZOXtTI0Zh%*&zr!RV$PH5$*F=eK38=L1)H2)G$r?4@_`yu&AM0fYLqa zXdz?()|6KUCYhw=-9_*$MTTTmaKhO-MYK&F!uq(Es>cA=2E_!k=*SHF%Zk9d!5U~F za;~k5f59N)~yI(S-h0RnO3N8+5*Eka5x_?s5HLiqw6r$a~+z8 ztX}K^XKZ$)p*)16CZ%n0g41-Yy~K*>A^DM)?_58V@6^sVnrUw5BNZj-23ijQ|%lp-Ew`x^;B4J$p+n`6{(XVc-=&+d85xf zd45zat=7lo7=m4Rts9vuU-JzOuxI+KpX0fyb4OQfXni(45y!s@8mOhL56{JW_!_@b z^7jusx^%CM?LZS@IZM{7IIoQ;mpgG@?eQXX92F+{sxn@|q;q64wYu4;Rpt zt;a*swT5q)Yke8)h3jlDoQQf}!#=EJcu8oR;CyvxQpLN0}SBNfbeY+C5o%GXfTgv9SD ztSlmvn~mMJd+m4=v(Kq0$U2_8Sx_?B*hY@Cta-Hd+|G^Hursw$!7BCg(#U$Z$6At3 zy{SWy19f*pX>md`-4ND7o~rk-pa$Nr)l?9cwlWi1W=f<+?%-^-3aU4owAYbx#v)UD zryFPc!+6e&);d#c_N--!=huS=E=frv;f`tLf;%O-w`yk5mn_EUTjRFg$cL5wZA)Rr&<`~!B zjC#{9VbgM_@Y?3yA=;{KoyhSPF~mr!L;OMv!Qa-e@;GvPGTMeY2E`^p1Vfif8a`{I zw}UjUMRXMq_xhm&;*A8{PopD08 zRcwssxF2;D!Tyxl{@7kU$mOeJ zbKgM!0u_NDvs`=>&?3&-6m^@|CzCPx8v4dl%={-!8`FhS_^_rLkM&>}W5Z)wm^pS! zs3|e*hfa`Jm{UPNYjIBu<#rd^!Fu7{niG=)J>L{MP=9m@Pw>DPF*ubXUfh|_K~K>> z`_cmBwJN&Kz;y`yZQmMJ&)4jFDwR1KpHPVbc=}FghOGdOKOr=8;_A%2Ke&Cx;CWL2 z5tbcKCD%9}EOTw?0iz+9!t2AxyE69Vubn2%Ijhdw z&dcZU4}uJB&#*N;9zS2O7M2T;?_fK<4O5KDE%2QYIK@ zzGtsJZ`?(r(Fx4NDJg6^<5RVn(%${c^5-WMiI@Dof8dIOE~)x|kX4wjf=xIv$t(D_ zFB7#~%gH?xbia5orRD0|ug9?7`Eq<`*G5bIWT(f*3L+WVIUPLd#k_2IMOMgK1%E++ zcx#ziJPKT)d>n5^ORLQ8RMsd$ONa4(kPi9~dWBjosdO_XZXH}l9qhqU?{2w}=)4k) zj&Z|h-%xMfc_1>>c2@xdmOe6~dWjIN3OGRe<14FOGPtF&>ZO(T?CrqLrixY7Cch9p zMiz{zTflA!&7Y?YDcTQ=YO^|Kv<_)N6p;##kShWC&oB26pis7$Qa~A}W=a|YF6&6| zyZAHq*>HUJWkVj#Kz$#)24gy}vs1q>LuF)SwUpqcTh52=BiE>M$_M3rnV{cc*SP6+ zJ)4*FG1F?k!K3~&oJ|UYj;4FJlr%GDYz-|?7k@Tq$xMO|1G7vEoz8frhnUH4yF`hL zJD!OQe$`uK*g9DmPYrlD95x-?!L2;n>(i;MRadkVe8;FD->$Xhr|!aBH#lK)I9{^6 zd%%=9|Do9sp>Y+l`Xh5^=F<1w$K8i-)h&{CfUR_(Ljut6){$inb!Mj)NIyPHvAPu% zOLBGG1$R>t6dHB-apGRf$-Y74o6V)HO#;}&kx|~DS}(P?VV54K%DxplMYE&^P59ue zG*O-g*v+in7Cnr;=sfcABkm;|B1N%d*sK#hQv3Dt%)rcH^u41?I}PWS5*sKAtvOwC zUS4i}ndx_JZB-K;-Wqpf1O=X{{OMszh5mi+@rWaBu}W#$Q;G(DC^ghqp10QM6gWlv(dS@Vabo!a57qfmh}WiQ8>| zW>oT+_@%B5q+c6VL8eefAg4FS;<(c{x`>+ZTcJclwJa&h+IY5gW9( zb^N|KEu`y@mswft`?Tux)I9wjWEc6mTU!JNx_dqN_Gc=>Jzc-xugTWY;BCMvi4?Bj zC(1A2hL9Ce%34JOJ4b{W8ykyXF2#=ucsJe705o|8xs7u`{E)vW8P}?5!_BRG;09u= zLu7pR^D8h1Tv90Pc+Z4T?_XQiN|dE&zARcLrE-_eZm$~}{m~?47x=yF5X~$D7bm2a z*fU>4L^4I8t$cKifOt>nW)h0B`Ip}y*C0H*Y*Pci9z=e^d2~QcRrRIT%I@M;UqXHb zK9nX3yYF10B(rs6H6&WRdw1p%HtC>5-Z681MVY(|vayRtUz5|Dim7@M=|@Rlp5or( zmF5p7{8JYc^N+TDy?xa!yK*=gLZ;3Y^rK-w5JjtbXW59_vUgGOE~oOm8D%eXX0;Ai z!^|pjUH3Ed@GrH((tN@@t-h4QY#AXCb~(F_b{xFBoR^EqW_TnQvg$-Fa65R)U@ru4 zz93e?<%LFVdKF!uBu$cnR%@5u0>wt(JYZ$|yUs+;ycK`4%Hj297_SVZT+)R4)>K(+ z!2o1R!SCX!+c)iS)+oBs9f2T1_Gp?>8^K-5o^b55C-*E~Yscl-=g{>&TSdfPI=}h zw4x)2!?n@YoG3;s)gD{@Fbw7S0heU;s0MGF>*veOJ2STJx8_~+-<5KI%@`ojN3{aT zv@mc{Az=r$J5%AY8z-&7+zmFFaf#5>VBQy^_xo+kF5J#)RUYQTZu~qgV^qPzo@#K~ zN{^G?otpS?gKXUFa?osd7=L2wR*MTm`}H?iW+fCa?ezZ%E+ z(I->F_C1JUouZowrW(8chIe#Jb@ry{j$j3H^=HN|oF$}6`mnw+r3@XzxXk2d`?Ix` z?Y=<+or_MdekL~NZ|!!=?D_xv@ksomz+JfWHg`ew*8Pd^%vP;P)-(KfK5WO{ioU+- z6EODA3zuw%)YZ#VgbZrs;F0VdY1iz-9?|wx`|(FYQ4uA$1Lv^cO^u_qL|QTrZGV1r z-70d-DC0dmhgrLRX9!CmCK{*-W0E65|d3q)mcFce5|#U)=@F7 zZ9^fzq~D>~@09`;zhsgT`Hg|IM0%flcjDD0Ds{}-B~#Q8K!UV%#;j_-kMN1Nr8vHh zA^6Sdd-V<3Z=Ziz@3iCd9i5lyMW_GSr1Cpw^Ui~C_H4Q^7krAfzP=mG%Mp*+Qr4IhB`X<-) z@*4MYcPp(*e0JF_-I@(F7XSIhk*otOejKsl1yiu3gtK?BZbfKkRkC#y!z8(RTBsvF z;@N$DeTBErNQPc-j{##TGUGdG-qsGt@?>AW8iz;A!SAxOkq@|M^%E*7{xZZ9a_~MK z@Os0fc_DQDTP=Hue!9pn7#q@=EHKu!qlu+X27B9LMQ#L|^!n~RacJ1SPqKnqQ@a&r z=IUanYrIfK_$q}lvja(oV1(U3qWAvM`4nDhz0}BNj!sqpCa51@yF*_PZFC)Wq0<%Z zM{tE143 z(ddbS|Ln^>2+Q0t1>%--a_6Ltq*MIMU#UF$p)Tk*a|gYRe2;n)W+>_=M&5?pR-$~K zkU7{~ZyDcq*AXFh)fT6Irh5B*j)gW(a0|8``P8BHPyg*jy0-WHQ@6BAL?$3{jnE;l zI0lY8cu3*x*PAHRohy0IxTw14{mN=gDr{dl%tNHLCzBO}F zgreuiR$Zf^4RdFkl@YI38ksA4&`TI(v%#-u5D(F{`;&0*!8*F6;aT{|_-%E_fES)$(X!+2V`F9(e_Z{icJuC9PxriUnw-;1 zAUqx%9EJSiH+I)*+a@bp%KE)WMe{u^+bTU8G^?~LSzTyL7;8G8aww?dDsj11IfTw2 z)wy*SJpWu~FS zx#E|IEozzrQZz$IAsZcjd*!Qn0TuX04A;t`2K^;cNTHo*eZL zal{;Q`n?3Jj~sN~$ttZV{p8Db*SyQlwfeBQ%%@K7rP+tpgh%Pv{ZX9$T;t`|fU;DP zpSaQLl#WDCGQ*6@c7#WNi4@QBZe&XkKDLpBn%a2tqMH6Y#7eAZsv_ui0git4T+a&x zWda+|DinKYnqL$7aFBZ~IqmNEh)4B&Scr6lKFlXKt!d*3mPxV67MRS@iD(*g7sH+w z*P7HAKP~5x(-s&H5)NbExR%0yculWftk z^Yfc*o<4S~f#@&+ZJ0lYm_406$RWZiUa@Arzvqq6|^V8|Ra4PlbG=j~Ek2MbiphtUL!hVb3mR3&}qLvo|}pc0Y948b>z%3bO)|18s?H z?`~Pk8xDBT(8^@7W8U}5n%BrtNGc)_Txw)7Zzm)&hbWL5x?*qM3)QmS0d)sL_>TZjQ2c{xS zTc&j;uU2No9IDNaAx&+h&=IPXPpAE-uSI)M@h|^;5d^7!f zzc$kQr=mIFC}#18r4Ot(^QuTTPGPg*IJu2dGnExW9*pD}N@IrIzV?A)$^Q6CYv>ar zt8B(`}2Hry)U@eI(TG*q_Y(whE znVgxWRD_x$V?2P;-(Y41V+FwB64~DO?%Su#$is~zYx)_^C}ih~UAjYpB*K8R0lo-F zLgKDI{5qkSG~#*s*73wj5BZmO+``=CV^3JxK54lO@iw4eE|B@Pk=zg%m6~?M5oCL~ z_pR9N0%5G-WtLWfK_hMCtK+~ZVk)diUMvHpro3k(GD&i5B$v<@xeUS#_YkB%SR{jU z^-$yW!Qv$8d_G=e^)+Yh2@W4>MDzFint|pucF!)L33HWby#EJ-cfwo)Wj;Km`N*%A zr6fBAkHP$8nq;R&(d8HBr#K!1e&wmkJtdlPM%2U2Q-ig_G5YU-lL?rMr+rb<>B6K( zE2_<5otv;MOqXs0ta<+2IBR(Ee=x4b26 z#N@?dK+^8UuyTQM7kQK`KNf$Apy@2@h`Bx>v8u@UzPF8#GoSkIK<7wGr^TLZm3vvOphJ)&lfs{rmQ!7b z)KBmqd#5!FHe+ie8q^#c`Y#&h)p?Cg960{AzoqIr>Z-iqwn+t3qGGSXzF14q8f-R` z-z*k)kFgM0<3yM5rnMBoRW45j4iShbwq5H?U02(Z$d{`rBIY<-8Mo{soQvLo16u^K zF8eGWcDiTBqb>&G&}@3j`7tg8;IS#>+YP|X!6A3T8W=(EvQr#m>*e5?QBDApQ676_ zeAgi~ToikJBh1dooY!#FQiki4K_S}qzyY>a$P&_JF+gS}x0*-AOj&)^1njeHwK3_$ zOX;dkab?SBp+pdCE{%?KDmt=pA+vbu&&&&AVGb_K`jvSD&J_ZoaL;E3H2a@qf7>6r zQeChI!pS)uvYbKL>-%otaVO3j%DWBcC%n2YBqRjvo(YYOjinG}kH=O=&jIXYej}+; zGUaS(NKY+ zje;Q#cr_+U?dg>^vDU6Dy z@{dG5TGqeaa+#G~AQVj6AoH8&!IzhCjYZkxR4wZquZjwhYfO?6V@h!+O!sCNQwNwQ zuWO~f_N~mqIL@P!jh&8n26%e7-`R1^$r-$J z+9`}K3e((p-YFPbeyFZB7a_KiYo**$av;s@s2wqrMcCQ4Z$ZsGfxsAyrJt<0VM7aN z_pw)D<3z|#I(qNp-qK)m+;e(U!899NU2Wm8JRF&2tLpUgo|+?0x|n~w*?TU{ew;jm zN$!&U#ZmUh(<*IOCeRA?wrV;A8x^sRFK3J%1kjhpUfe&g`~=)8FjsolLjj1ubho%H z(yoG$+peh9?Po!o7fB`5ul7qX9b5k}+*w@~O~@BPR6W+3UXNo0*mqrr>*>hBsqp36 znmn0N<=s~)4tulHUJVX?IIrx(bG&|r>^pHwkQV*NxWKHMND*ud;R4m0!F5|E9@=eI zH1hcy+5#}F5ZPE-X-to%1uHj|Lf2LbS2VH;>7+J+R`N z5|8VlDI1Gv2L5Xsj3u;KSk6$%71s7D=LVZh>be(=*~Hs>h#+oqQsqreV)JUeELtIe zh!Kc1)^6?kw#gDW1b~lhS~k~`xtp67f;6x}Mg3|_4gBtc5;bJv>JtjHPabI>%1(bq z!>#3sSd=-(>mRVeba%5S1OQZo8%=?HeL_mxit0)W=@p|-)dnnO zyv~dXB?H6*F?$TN1B6Yw>4wEdMQsk4*7rjWZAcoR~+S_S=FGj5kj?z zAA7HQ2)5qQUQDYjOB{Wl{An{iJ>?M$@H0|&Wj|(QI=8@j=2Ps^Ksfo@?Rg&Tl^>TT z_zbKKGh7CPZh&#_HDQ+hnoH`0=FQ`T&34*Y${wmSj=xC0D7!+XXxGCN<{HWf3Wkq? zgXhLj{LPnSsA`7T*1b7AC31%HVRJIJ@w z(Yte;KVr1QOCNelKEMMuRo3O?m&f0FtEUdXF?CXS)MQw*rl8;kaG2!yES!4utVz0( z$w;9I7YnAppQvL zm!3nsf8rUP$dWN>k(-Tk{mr+!GDED#C^@f}UN>w%xu3FH?IKRHF z-WTo*z;VW=r*G+kl%{^=O#NN71=B7^oPIn$GXoJ^2uJRp@D})e3v^p@#u1nC#t_6~ zx+wNft@bW|uME&tt?SSqHXKevX8+s?4^$ikaJ0Y6YtR3M9jCeO*F)vyT}t!?!cLw= z=`^Om(-DoxJn4VX{h;E@z(lBKN(W^E;M%g?5`(1Vy$$?ecS|56vetL4esC$R5BvH}uBoa@O9c^TX3zlVB}!=_tnpTaYGU8LKZzB| z$?0Q5J|#4RinR2RrRCm3(na`~`QHNu6`##L1dk0bQ#2$ZElAL4}!c$ z=@oY!;A}OM7B&B~mEzT(}9+?{1 zC_3LKz&@X7(cq9soO~Bs6Z)G}_=jikc!Iww>eiJ!0KmGiu#oWGqk6Jj?p^PPTT^;T zT6A$IHiduQ53xkqO5Razxw~sSQest19+ix8TH7hvi{ZxpRS~8c7CWbPCZfUNpnqTR zJxvFJdH|sDd6YZ?ZmxJ}9xx+UTQj}9wP@hyhp!7Y>=2Ihl~9gZOHUtplg#sbC@1Qo zWVvkXALr(`f2fTX4leFjA!W=Gfz&Yp_iaE0;y*a(UY?J4->%ZH4OQ9btgDMxc`cyN z;-2oQt^0+^EMp|~OejX69gcU{E!s{x%o{lE3@=8VP?q~oYKi*|@h8CJf(7*_1TCkl zoQ%IRt0v1U$}Nl5#LTh;O}9tP%z@`~wKB7x3`Y~o!G5g1O<*@QU-G_LfVPl;We$^_ zK9inp%lN@$AeVK46Ud~MN=mefqw-A^sNOpjkN%0@2@arQ4ig97>i{Huc71iOi`Ty5 ze&rvDYg#{_@FX?~%R9#aM-cwBX2y<8jd#jrx9QZ|Z}aSsGpi-eyJRm{AQ8NdGslxY^V%OnA$l1jiq(f;qU7(KB3JyBzkivKy5RUv z+Tnwnz=U9GYYh_$Z@qh;4sA5#(Xu-%p`@%_R$E*9Lqn%q`8?oyC24i%DgsP=)}2)Z zvg>Y1#}{)k`*!+YUneqoeL7c~0_EU&4;C}3+=e>8g3RAh= zAHC<->AAJwZ^+=$JOspOZMMxS#?S`HV)mG^QK5hi0l4S(ZRR80UM0xxGS$)RbOg5c zRSaSOe=%az)8Kfp<(Hj)>SrDZ4^$fNiEGF|8%^33~ziN4{<-(srW=laq^Uw=?;xp&k?JnU;-ibM-(mRpSD_(~eB z+Sc8*@#LUMQTmaUD`)ty9RD?k$J}1}Im6p-cgI)kwyPi2A=JnAHOUVWaeEXEr#($X ziD^+s=^9p)g@#2d1D6l2fcum!P>833&j{-J}dtIm`=D#HNyvqM3 zpD6ur5})W3a0O%k=P!C%|Jmec?*dl)G~?tAulL#iPy?-cBz*b!RBZ#uApCd9QN6Pr zs`>xQ0Q@@<26W09@J8!Ktk8CbbHAH|VzhzPJyP@V^U*1?q!oHJf`iNT!tcA`CkqV| z0Nvnrkuc=!>rGIX+WcHO$V$S`4;Tr(G4J^829Vau;( z=dvlx>Vr}LJc7Hi;RD>^1%9AWV^7Sex>C{~^{@2YpwP_?q(@!wbasG57m8Cj@FR|d z4DN`AeLWj6qw5D`XZjvh8;-Ao>?$&zq`f_iUN;N{`I~kA55gpl;oo{w7wRZd!EY z&?TkL$FoRg2I27!IzB9{YHK>d{-tFw_wNqOy%YFtB@V$+lZ^`wsnjZ1UmLh0;@&jv zF{bw8^DoNE%F%00GgG~^6P?H%j|Qem$mC+=%CYh#jRTA(9^`ZH6F^T7o;J?$w5C|@ zTpGDBpfd2Q?*|z!dRI#)?f`K^FfXC91S5e=*=TTIdGu?lFXLR$O}1Li-#Z$Ayu|L;APF7-YR^wr znXw^c$Jy;%mot?G4t!Ynz8POW?0l31T%cT$GoUX?ns-+sMh6_$`^0E{jq?|^c_+tf zW@TsY!|)TmtWnCLMfeP)wx%YrNt0gS6}+_+sR7j|2C}GRbdJtYPt& zEXC+8a7izG^n6Xqa0D(vA2jxgpG+ipb)tjLJ72xuiB5ke#rwKuWO9A8q;s2Y9g|T_Uqg`mc<=@E=G0t~>Vw zIkX^<-o>SfJCUo8wyR*Gj7h~%QsWft(-V1P;pYBPv(jr(QI5xVLi3^5J6nB6Br1CZ z8I32?aJpwG`9<_2Qy)6T=^3 zz;p4A7FS`Ub*E}r4|j(rsyLmw&0BBm4-x9jHWyD!t!WfOX9;GGt)g!ryfNtA_>kU+eWv{gTA(&g8V^ znR?%Lg}#XvQ-CrUv#8X?q%^+svD{Z)d?0x2+=6T`9S@;Wsc(*76H>sAOW&ATDa1Kk zbtWMT{TJsQtg*CLF04d>&dJ`skoPlRe=W_;I@xH4g@18HLzS6+2(zo$(b!~M8J!`E z+Pdz@R0UUmi`}PqkODtFYO!t+F)1>f#O`jsveb|y;_o_?vr1k)%x!KMuUvmd? zo>e;yKY2xFvfe?C2jhtZU%lzUP*GO1`L#Hg;(gjapEuf0kX$*sk>U4uwk7nkVx48e z{|0*T*VvG&^|sKobkaS*Onl?)ex-8cV34$%UJdT1Q~wu5&Y-S8)$h@ozmt@*1Ir%1 z8-Gw{@w0ZAIgLbTsIgB_D_nk&8;$x9<&gYI<(Eeio@RM!LXi`Q{tf@U;Gmi*oIJ^O z`NrV#5BD(5WBTEY7E~8Eb80_q(D2tc|1O8dj6AO<4UpD5Sym{7<%{bQJV z|J=)AD_V=ya}S^DWofwrdqRY^$-^wy@xA4>)iCF5x>kz0;stsg$0f z!`k~5l$5H#;~yKhEB1C|`2S5t`z{zb&i_n;pv9C2{Xw9oj{O-b)A(@MFE#|qg^O!u zJS<~=E!>~FUnhVlYPWOsU8eeLKmnO><(UmB15YRG+Yc8iEOPzzBMv|+>4W{rW&`9B1w|7Ba>C8bt|?6DXrO;9f{*xj6yx8&u-sS#ca z=|JN=b> z!?$*4-4B-bK9MdW>udM$U#MpO>SLGtQuLJO?5LJRbC(I9wnon*xhL#jKUMH|$xyt` z`i`K5*snHBd4eO;mvxI6mOJHBz4~$&zNZk`#@PolA~ClsXaDv{IfcM zDRW@pVd*H_xRhM?4*f%--Sh((#A)ro-ZqHGH(VeU7F;lJelKG5HxGonyGk&+7|k?< zyPMk)Sk(B%6jV3iv|`Nm!$*=h9tpY$C`pCGEVFR3`S@DXFmrinsom#Uy0Q6hyZZG(CwX{Z8$mWv zU}gMp`#Yi|J0?AZW}`(~@Gce^zfc0xz)~;Guk3ju;{n_C{yfOgsee!^g=zzB1SfkH zEZeri=yy0lApNI_`Azk$|N3E&BO_<@FOGxWjS!b1A=@$8RfJvc+5zeg9d9tbc})gU zIjnRb=FI&5!ucrcI#Zbd; zl!?N=Z^w0m4}8Cx;zWUo|39>ScUV(d_by@|L9l`%sH0+|_l}C#5CQ2W6zKw?CJ3Qf z07nEIk=_(Vdgv_yqM{%*QbP;KAOw;S)BpiO;O-=#&V2Lx-TVD<^Ta0)@#K_!_FC&* z?|Roh4(Jkc*gd#^dOX-h;+6y#dx?WTG_3i)hFFVz&hHF#uN~sUVFUeAE$N9mnV9tv z=dA=R00M!|;z0ze^H%s1@9)?zVjaqPbZn(+?QkLfdb|7P4x0SV8+!XC59g_@A&-{z zGwI$Pc54eqx9q*@9~*zs*f zLyN2yC;D8Zd6D(`evEgp-VvdRQK>%4Q#ABujzI>o!ejJ8c|}FZQzyQGF!&3%pC6$W zzy9U0sXSsa&J)P&ycpFVH==jAJpA(j)t--eIb4yKAQh zX_2{MlP|)14JG<(ROTvNXMe6N(T$D}LtVLfG#NAni3;=u!Wmjhq*nd2`&YW`F}OtO zGjhGaNtPF*q(1=?FWnCWi zy`$b|YiC0FEWgTpL8dRF7%A~mDSe{YD+iORd+C~L>4j7CI)c=F&X915x$o2Vl=)K# zV~)9#_wYV>W|_TTaHT~rqYKjtZpTVB6*`KRJJ;g8FS={F>U76U|KXN%iKY`EUo2Xz zs)x;W1K-Z-Rh;Zq#T~iSi%LHTtvyDEN>xv0L_F$80g6Enfk1dFOq3izZ&al3Cu6y@ zv*fb`(Mx6C#uyZWzAx+f+pCy#-=&n~5SLH>4-SwYfUavz8ks=3_h-A zXH8>56GP|lY553Z)BJms|Av46ZI4@X#l^#sS!tDtD<>G} zrqJ(qAziwy%pP%f1O1l`QO=zef`J45_(IQG$kSI=45D#G^i^v+n@h5@Zq+Y>@V)hJ z2ePq2dU^ThA@_lbTR7&AS5bPg=Askho~5o=&4LPHg}Ax(NgfibXpWO`Y(G?Bg|WN| zT6MfP-qdlw!KmI)TV8OPvC(hbYh&~H_t1QNUCNTT-y9iUbbM;;@ajTQV`!ytmSo1k zr+v_e5cN6!tNnlKnP>*MAebZPTr^~(=_`y~9 zJ}IAwF8G{FW4qei+{R8066=Pq)d2EOjjuSgHTxvzOo7FX?3Z%T#uI+=<`jQ@5+fr{VKa=|#*SjPvG&G! z+_f3%9_v{ptIBlh%}bd})rpmZ_S7yRsQTE1_a?P<@0XqLYE4_P-Pzer2^zGf=<3QB zs_Kt#MB8kEao@OG|jnL%v)XNP6Lt zrZ(}X@}olc7CF+r9pqr=zl0;Y&tlTW`SwQs<*kQPeC)4jh`9z^hc4E~tH7MLs4dLt z6p+F}n0ngbyEVs87lvI3gKAuRdGKbEXKP22G@YvvdwExPV@msKUVgAM0mWzao*z`3r>r-2m;&d$Vd%A;!|!Dp1yCd$vt)D_%kx zmKw$(7QeyVd7GX5TMcolDBrVrGurd%F^4KU`DuM5ewj;idfwZPvhKQoehDnVwavu? z_gOC6{50=@2FIE$JMit57H_&-@U`6?VopRkWqD*iM4qbC$p{}i_lb?gI5>DO_Hd%@ zNSt%^2Zti5;Pe-^{~rC!-@hrYAQryKbv$R!T>dnwBG<6_)9daSREembF)=a4zeH6} zhuG}9XYQ5u<>iX^sH`+soqUA){zbY8*dNyiuI_knmvRS7+Wz1sUMt_@^BA3G8GcUq zPDE1NH6z%5hyA(b<*{%9=Q%E2T<8v4inMI!Yga8-8u+KvUFtt)hqz^)fn>VIZ+MxE z$`ch#|D^wYaCYheR13F6H)*pY2i^X*A2-AJV2C)IUYMDFjbrX;{&Q$KXZSH$>#NV# zS%{D?5u!y1Y+znDC^bSLx8p1rK|C3+raug z;W%==coUqukuqEf8{BC;LvMSOTG55y0?X`tll)C3_6*l&H|~$7SptRIhfjnL!kie% zi{8C+0_&W*^-mR~VrEb3+ueqYu z%X>MQ`^(LWF1q(d;#8Y+BT{X8sHc!JypmgBEWbgHv$|=bEa*&uJSLq=9rmBr$g!=v z`F*JlcCzE>hT}frvH8I}q<8EwJ~;r60dW#weh9LUzxEgFBN)~t#|$tzkbp-gi(C#q zQjEQ}FZ_jIPj_~)ZBm)r<#afl=7K{CL4p}`Pi4r(NpCNBr|P}LecOt&$(%ER2BJl6 zU(FqL(UrgAAs^Fp@n&OobHRS<_bSs0fAbZ8lOINVXJ3nMYxRa45HCS+A0Fs^xpKE= z9`BuxlAAtdH$6Yzo!;WIOxfcz$ESAoDp*P)PfIAT$@w9qdkgx-pN#yOBcwV@`V-k~ zwDX95`KkcVJ}YSv>2v4SK{Riai4>ep-8j&JFHCK~Kmwo2$ha9};AFDCl}_+q2OnO? z5A13{d6|dB`$ayxj;~OkIKJ;5+5sx@@;pZ-0Rv~U-jPnCDc7^HXkdzxigg5KH^JlEKvUJ0xx+8uwj6BYHB zi%S^NZzNzu$mBp1aTj(Oz_A0Z&IFXOZtj*=4OTZNHr=2Z$&H@Quw>B%%;R)NysmO61D#)|`~n$s zI1(|h5YF(EveVOQ=B8QjoZ0M~x2s$BqO3|`S1qEp_vOIbmTUD!mwR51t2I7pUO!WV zUfqHN2tXvq9+wO;n^C`1G%Ss)%0l9vBlRW3i7x_TbT65lzn(R}M{VoZ0pf{d>nV}T*4dKwX;6*Fn2S&sdqCmT((MppbD$qCS7IX zJ_jJrU^dD4ENT1Nb|DHqUvyj3&1y6;rp2Xp1D72suhXrn`CRs9Km8>N*umqH(?=eU z{#mm=cR3#FYm_@2I-czUGcgWdS&0~Nj^=T*j^s4lYouFgcDMG=gSy9`tS=XJ?mJ_Z zjK@bDat=DVbTZEcpD*r^#rv0p+#!_vrZbny7v5bLM8Jl^r5aK)xswF$f72vjBtl=f zhc(}xwv^#!oXmfHJ)!~8k&)$o{pGFAf5}MkZ<*2L-c7zyI9jDR>Es-JKpT_&457Ct zGh5fru100ofnAG>=X7HezkUBAp8hnKo;R}R><;({Scamxv1V>1e)OdJ5_F*{x=tWy z;UtF9Nh%EXEHtPBtND0gW(Mq}{G88H58?yl*yHqx@BUPu_65AX}7Kn!VQJE>iixaC8n0JtB%v%h*5 z9c74=Jl=Q`Eqsji%X2&AjA5f^1krxy-D1C1Jo0&nnxxk>Y9r%$hvBRJb zpP>?Jop&i4SZmJ@tn1fi~ z9erksraw_TH_nKj_WruU!nTv06S-TTh%{Nu&Z)1&2jA`v70@Do)s}~do~n}Sr`_`M8OBm!W0oaL;QDL1PB(6Q~s}A zObrN{jSqCyObWg5nuJ3Gj+j&3+V3Za+O~v8TxhbV?+blXj5MFGIs=YWCxt_PUrFk= z?+DUX19S{{ea?v8j5fT0=WR!MV5nIiMk(TTv`aZqyz4?7EikcBa&M~sCxOB=Ly|@L zynSJ^iqLmQL_mqayQYZrde?C1(xS0CePQ`qYPj*cNP%cCnkdn_Adm7bBI@km)j_*M zZjTj>AbA#SD)6DZgdqCpaV?Qm&4u>#75!~TAK)-mzQZr*_3De$UwMG35N2LdcB3u~ z+MHSnz|Bby4F-AG_>H&dPzYh@DP8#oMH1bSIoUBXy^G0Fwt^RQ&x^AzTRO|8VfJ=quAmpjJ0R>_6A<`dt|)X|Z|J#D$1eXyND zrL7mH}9JG_- zSjNsid#xk!+YjVfRGv~PP?~=|cN)D3_INy(4}xw*_~@`#&dqkzrS)xIIw?RAZVp3f z9v#WR1#T5#?#7Fq&9x|#6Hr{2s1MSJa%?De;(2!yM%0~${ zgoFmulc)G@N|~sdpI?QU)8N0+Xonli?|LiXa@Bcq=#^EyqJqM< z@bGXoKA4`skGBbRHSTNaE3t*(Z9!?>o16J98Y$*8!Hb>Vz@dpRlTSUE30S(cFg~&p zrL)iIxKTDb_qhjogMBOQWGl;RLZEK!L5Ggp4*RdRe2qCAD8KyW@(8#3a?D83)Et-z ze09{U)v{TYn5N@2x?#d~VS;%q&S}+~or;FknV1%tm)pv3hsFB=w^9wMjc6t0nI8|9 zN_?;w5L9Qsk)X-uRyjCG_Pm1V{^xL0kL21_=$|zdydmrpQp!#UC7ceKU!1KTKtW?& zxSGB-A)DCX{nNekL$>nb*#*0YjsEm$-XEAwtD2J4;3XsfDs7>wO+68#`*o*EYtna? ztl)-sj()LJQ0wPL4DwvR0;b?3I%?JUy^R8w&1-UPPUL1z#t_}q45RM2v*#_Aad1Ti z5=nQoE<+9F`}RGj6w^Dhbg-50NmfG$XG{&@8g?eCzT7n-K`0zShSU3dRD(J^TiWGc z9|`uFp574+W9D&hbXQ*1p{K3kpQh%*%3ziP%dA6itJ6qJR3G3Pr?81haz?80PeXkB zaVimwI5`U0A9qe?ls&Fp}!IRO$oOFvB= z9tc{s%_~%5jrOO@XRRJpx8hb8tX6XL_@E-3y`HyS6u;DD#y1KJ5Y_5@R4szMR+o$I z6VE?q!Mt;a1T^@+FnyvK9urleq0}Pot6$0wqqHb075WNyGrm%sw~dMnX`dae1_y56 zSTu{P(G~Hln=GFlo5k7NW_#mnT;3}*mPqceol$}nc(rACKl6(=RL30^7(=j^~lJd_7qd`AO2qdoOGoofD^| z`cAHV)d^Bwu8*!8TudN}y*OJY7?y$cg{ap9i{*R1g%Qm3DelNLj#}iNa?C= zDrQPyQ*s-L4Yf&5-Vg5wb;Q#7&K`~kfe!`LEyjhS^R~hEz-Eq_Pt^F)C zv299xP?xj8+HeC|X)C55F(FLrE;vXO`^}p4AVBioTQSgN@X^8mV*;E0OVtO)c4qv! z+9x=O&2UNsxf@cJ;;FZlTyo+`%Y#rur1{=7BSA#h(lf|Cr3SB_2qgZCD$$k2;Dy|} z2YLRdYgO_o0pkA6cOoqF{IB;sDI&unI6HG~3#A%rILex918loro_bJVhCz)TJZQrP zncCancNh0jrzF>Nb%Zvv; z0^C(6U1-`pba6=iz}***_7Rb1oXYmH>7!4^0)YoUcB~ln^;bja3r)vY)TJX}?Q#ua z?4ZH%W@%EhXi#RIl;$tJF~hQd@ASfhMB&t^rjoX%u_n9ko!(Q7PP!eocyh?Ea9^r4 zB2Y`qx3R{g7R<7uO2!+zP5z{q#ghzyF7lqkk5So?Ki~Q9lVjwSR|We6ez@!d?ISeX z?6zcM{TavMbVX`uvU(nDXyTJvi0c)(bgXxMX-!DMFwL|3MwkIZ?hu?e!jH`ovZgN^T2AOKgK+MbU9ExZlE=I&3$R_AG} zg9A$!bNANc6*lgc5SCFbE`%h~0CZwv5)N`-Hx)yw&b- zX(DM7rt9Z`cA^J!7^mte0D=d8HE^WCv&B-NwC%+W6tAV_yxgCahoJgyDSN253|H_1 zh|%bg1x6;Fk+r&9Sg)QYVriuKcYk=aW^cyp!{UenisGOGG(XtgZYCzJj?cft1s3$8 zRoU_8pd1o_FU_a)=@Ttd6?1gY`6|1qcfzOqbLdfn2<%PCA{-g2N7jkal3-L?8H zj0~S^G07(_jCa&bj@2Zni&u__uZ|l>jA#gV^IBiKy{-lL)yF3DN%Ty4wC+H5TE$1c zXopdeyT%T+G?>iRk-E#9Wl8YoMz!-wdFFX?QPk?%WyggK^~OPyw=YPf4IJn4M~pXw z%&pMtBFB0ezR~SU@aXxcm^uMlKo%(6Um15dzY_;w4nj81#wliOKnUq4?jrreq$NSBi-g1}ru)hCJj2iDA6^!%&jt_+4g| z=<;^OC8*#`BRlQP>-I0oGvBvFnDyIc73_U5Eme(Yby?gh+!0F{mFX#<&sAoP_D`t{ ztxP!{eZULFqhO;X;FjKb`0fLfmJ(>!k|IAdTx-}UIdAQoKf@-BjNCM~TQgLW)p;;W zMAJJ-u9y`$6$Y_Cf)Kh3nPpfWHh2@2zA~^e$?pi{?Gqt$(&^axE~{XH8{o6Fiw}<)QA2>cHX#!* z*XF#il-%_dNSVK0d+S${ik4J{@rgQGbnimBZvP@>b1Rm#KQzm*akxy)KK^-Bu?4Ij zd&E3T(^d$bJ9pc^T`o!>ugT8K#{SRQ&RNaE4>iNUjkw@8a)kVz-m1Rc{RYq~AFg#< z4oXoKV~iYOR(NKrJ&ZWw7Oube1pSf5vd6aDQJ)T3@RYm}Enpz*x;Bddi2W!=~FpKKd8$ z_3qNG)Vbwz2*-WwWK2^i$?>qba#|2}jS1{E&U#C^oUYauSa&-+`9aK8lQ@+dTNC>O ziC4m(11PWDfov~yKX&UP=*|tw;eQw^Xa*d_Ah@96mgrwRT zvPl9TLvKz9-LFflM`s;yJu1SbiC#5iR)KD{(_@q~`15AJ9(e zO1P%9Eb?MRdq)_u5O)#`fx%QCWG_)THwEKmTAwP&cSgSqFOIoBOb%MFMs)mNmNLLg=Fp07UxyZtRt}yivBx0SEQ~ammGUJj@}h!n=SiSxgS~ z)%-Lw@0Oqz{{%!#a0GzZPl#0Z*KH!*FPi98I&1@;iE+_TzFYe^ZO9E&&QLI$NbRL^ z!PcGr^1?q-YnUz*O8xw^IP$fe?@jY`;!S-pEbg_d_EUk(=o>IxYIN}VQ(-~$AFoqU z_V?J@`jDej+Km)8{8UYNr!5>4n}Tj5b<)8AY6Mp*kgy?|~z? z`yy)qI3Bu|wnPNZPIV0t@*bc--rY)|QrkcJJc+A`qbUSh%vX~a_xKT-m32Bi?T2-i z625rCQw*_aXoH-1n8>9@%C{!UV(nT-!eqzIR>R|?rb-|4yoLa^d3sxGn*7X=-(9Q3 zvD}*IFU$Q;iirrwW!pqP4P2S6?>{W^{1!A!`EXZbkK*Ni+X1D@DEwS9pGNm9X2QSc z-P+Yk3taQi>n!ir^tDH6?WNulA;QT&s6NPoTK{*;aIr6K8m!C9zNNoijEKrBnXE(R z&(!djC;?93S5qAFmKRJL-;C<#9;k2MRu5)(heC?iU;QqX1*E;d>Y1p zcMu>3-J!`m@Yqjz{lO&8G=VKm;4*Z4%N&0u(^+jUGL;!g8a^vq`vn?{$dXePLtNj@ zDHo{2 zA6Jze_LH;&&pk1yViRw*)W&{ZdB$>HdSJlH0-$k58zL`fHtLtjuoX>jbjyZ|1*E$L zlYA;2;I@GUEh$(2&HDBd!>kwWS*Kuq$ZVz$LfEN~FVCmeTtUGj>L_kj7M6>^WAQ2Z zCWoylBW#ObY&v%xjElg9ZGia@Q@Nq!8@l#?;;v^l@ap#?$gii})T=&K3!Lq48`j5_ zwC3OQV=*k}xc4<{!eaSQ4H5bTp%B`yKyV=Ar)E{5c(8Y09NHmYc&6ps!t)=&eBY!ewg58>K z-J0vH*(HeU%bd2Z=uF7#6Hzc`Q)<6C5}Jc;C{t`iA$mf#OnI8 z39A)Zh6NmR(H~R8h#|CyZA=x?=-1McP|FKL0oh4^lrWVw&w)dEeQorDH*F z&w(JYlvt(L-C0#Q-)1!6-)5HS!3*J-Q$ilXhqQw+r#_j_n#t*&6{y%R7|$mmh8Ei; z{C(Sm=C-59)g#h_mYgZFE0mcPvE_wE^_|f|srdWz?kf}SaVunapnCsnS|1?fm4_I~ zrRsYgpt+jP7S`-uS;nraSX6s`2uwjN_g}wfF3h}TZ%_$Vf!`Qk2#fT-&e_TEfD)Hm8$JIUN7!}bKK|zF z2wX~WN_-+Z05t$g{%rk`W3fRGj23O z<+=j{ru?fw1X&zALmSfGTGceBN#8awdC!k!!{@jJuIXY+bR_}{ak-5pX~3=IvfK*K zOj;Ok`#Zc$ivJ1+I7Erk_3TkWH*>$nefAOvYPP4b~oQjgZXl zEJgz=-e7vDZO5nmuo>b2Ta^~}D#lvgAv<{|W`Cg7Y*n+QVZ+UdlsE@yv|q>Jf0+4B z{4BLFX#U&c7!wBqj23%P%ktydk869%p36)?le;7Br4mJkl2T%9q{VZ84Yc^g(*Z5OBp{&6ZfIWal>FN2|fo0)82b}xIaHd zUFF+|M%pJ5y=ZR|&`)YfhQ(A7M6fAJIV>4x3p+1Sk47XXFB^1CTfw*Rqp0 zRolO_Xp!lXrLvkLLWD&=a9xy5z2R~=84}n3y@hojIUF~k&l$j!;d`!D3Aikdqv-6f zXRng9Br%|{mPu0`82OF5IF!Y?(TE^~Hq};!wQO3$Y#N=(QFvg)MWx;d-4Dsvg&lY& zG%t0n30gzq-R5n0HMn3lr;>)K`%ldPHFF_ji2HLX>jv}Z-nfrvn`)1){M19RxS@?y zqJ>GFB3?8xP_18`K2YKks|f8-_}*|uxi#Y3LJMZeZ{c%o-f!#)@>L*NL%>6ct3}K& znJ+`s-{ORUIee&uWNn$iH_rGnvs%gf4jYO-r|dsJfsVW?_TC_ndUe9CBoVRp(@ASzQ;+>; zP0ZnG8N51Gj^GkMl)RvX`|4#_4(}&c9m*a!ys9)bAjQP?EuRTomx$#>dYkWft(O%=t zoqQWbhalaL1 zox8;T0`U)rl)EGG;|ht__d^2@9Gm#SZ?Ej$m0Io0EHxcjW9)iw0vJ}Tyw_GdZ}8Gq zdvmSTTb7U8w$4CmJ!XbLWy)%Dr~o7bmSAk-g?1p==Xi90|F7pU^jP?=$33-|;%(mp z^r4xG*4Dr?2lHK=3cLI!aZ%tJN4}ZdklR7xh4g@xMZ#z~&Qf_~c_X`GMg%3R+9%w; zdq9cq_&MO#lR_fJ?9q*^gFI(4u}i-rumGHbOZrr@b7nt`f2T&m>FS?w)MxVc&8y10r??Ex;^hX{@Ou>~C1bu?qUby~9Pj7d1!r8Uf@?lmHDQ=kN z6nqwdLyr7P06tqTn6CkG37J~cM~mS9$mAhQ)QFvw^WK%$(eD0YOZ7D--z=h)rk)bWItoJ+JC_QkgC7rB3>Unw4C4Dk%O~b>UErLTj?onr=ssb-`%NYWONm!g zbL*&<5O0mRodhS}K-LOgP}t$izA;-S<|^v@ZKiDz<@hUZyW05*KtcI2JZ(kzf%joZ zYXnr5Re^ut!JfAVlMF_7I&3YEBH_V^XewBhp}J)jtmU^)`P{q(GCO<}-ZeWpdk>}E zp>)T{N%LXSr+rkG+&)BDE_`Lnei+1IrZQLAyEcI@PalArU${cgSOn#@#!$^UdGz_g zRyKIM)pw{X`RYBCt93l>5UIXqvKKUE@1=ln$BIQsq3G>*4+cJ231@@PCxnidm$hh9 zB7ID6sH|N1GUe>{v8UEzdUDTOc2J3F5i1hnOGht(Q#?o!Bfe|f(d)AYCp0>*76Fvl zwqrPMIwnYLu(+D65calaGP*<%4RY+GDMQM^%L|=zLkuBM1GoH@WXqL#t*Ir=o}pYH zE)DWMJV4~E2TyC-feHFL+_Qt8rR?3+3DS)hUfJw?TVhLrFPR8`F!#)7dVe5(a7CLy zac35gE)bwyy2jz`tWIJ-u!i>rtaL7(l9=p6A+Fir9UJ}aAqmZ30Sh;G`rFWUCRJEr zYfM}Foxwkl}Qy96vjZ6ANp|B|)gCl9d-o+XX% z)qL@cKR~u^^fOe={oWV33$Tw$r1=7WLCD8EdrKb|rPL(QXv2K{6IWVnd*2xyGZS;; zBiZGT+`DG;0TIZ=Kx8X_sqIzubXA_QbUs_&m$tM8JKD14TC|*XIz5r_G(4bUD z36D}j%eC-3{k+9atK^`FG)L8m4<<6xEKtbIeb_mOEU#umxhMgU77`h_slUvc1rxW<5v%89u zf6-o4HLLn|jhb3MPsTrYi*M6dtbeoqM-3g&@Ap)WGxJTZ1VkTEJ#@X6`BG8EX~WoR0t_T=L4LauRUIAI4+F zfhgsLxAB^Nc{pfnU$STNArcq=o}T^8-AZym?q)wzoLm8z5@2_&y7Gg?dH3Uy_R5WI z=UJD`3^#g)+^{|U9`br8y0tmWjw_t~?UP8?^?##8TEsVY%#m+wWl4gfe@UsBq6Cm+ z{g5WS-e;^of<+iGr9t2c0!MODfO4rOK=Hbj*H0p$5EI+~pJ|%BQlp(z6QhnSS!|$6 z$ndO`tboaM`$-!7jUJJ|1Q@AF?Mn9^PmuvD+V|UP(Z$g(-2hecitXtBNtJxLa1JPW z0@8ghIaq0RZ|^H1vq4-i*1IOvQL#^*R$CYc+nLgW3ERJO zz5BdQN^tO3CV?W642A%4vy3oe(M|#`l8(nVJ7^M4(+AGfD{Cny^Ff>r5nmrlCnsf} zv-tPH?#&kC2w6QTH$Ot9ysA)Rq#1X8zK7S;B8sJ!#xyO;U2GYqWzgae$#>J-EV*>p zIT%}FW$4cZk(go4Ag5d2z%O(#oEkH(J5Hj+Kh#7~Z|x)cFcY@Mcw{)hOX=#!5)rDa zoHEa+{hOVe)b7cltkYP*nYaE({4FFxeBiZ_;Bsu>$do5i-=rEz&2ayFI#z~CMPHCL zYExkq(~pF;-(!OPuSqx2GW!+vRY_1m@~DQ%I8JY0@Qp(EWu5 z<&?2u1K_{Wpuo^W;<#lZ=5>UOx&MlTR5~5ft`ql%_R~$WiaaN&x3~cPo8ti-=voQt z=sz`3%Tw%T@BG+aW%%GW*x(b&P%AWFoSIQ*cXdM3O8w3ZF_h6o>(O+Mppjw{&G&3&mV;K6vT6z}2 zOr;D#D#f;Hu$GODT=KY@72L`xVv(Hy?@0!MS0?p_Kqz_&!H&8iaj-k_IrSd=@2)rX ztef(4F+pZp2DEjZ)#mcuqFsOFnKOaXg>5m=N|1>VaEF>-ua`YKi49JGieie8*72iv z`fpq&F;V!7T{rMjZ`ZioC$q0@neY-oNMztLfRF(ec<(aBIyIBV;$*%#S#Bm!1+P1% z;`i{cUqD4rp_1ZBpn?4n>uV0)eusEI`eavDHP)CL79-Yp+(S!9M>s|z9c#6eG@M2B zoN|fVzR+yp*b(Gso8vW2{?_l!2l4H9F(eiJW}EPz5z*%mx#0=lorzGW=3A!@&!Z0p z-rnvf?TwUWC~< zsqSbfiX=jT#5{LY6>E4^wJp%L@KPGP+gw1pIcneiB$&+ym_P*XTc4pPp z$0xNo3R}Zphobeh0ftOzl;SFf{u)>^Ub_<_`NMP{-j6EY3yrlMsJRiJ^w@n{%a&w5 zhVDO6t9@eEQ7AhYPaI&~0!c2UZDoY6h`{^I8DF%RY_sy^bdc`OUhZMSWBMq*i&=U} zb})4aYgYfA^^?C_?U_duPt++pt-~>g;)msp6pZJU{OBLbvM*_lomGBa7naDpOM02M zzIrSqYqb5-12@)g{zjfo2l&0Pm3w0Wzy4ierb?* zxc*xU2F2pMXB1Q`lq9nyK-m7cmX!F?639x$$?0`jP&1`&?xWk-6Evip$si^u?WTXV zZ0H+xwtTGBSztc#KgH_?&5s{Hfjp+_tHRXKzO_QcWI?B~APCBg4~;M=+B?K!Ept zZ-LQ(frWG=`=CK-LJ2dWE4MDGi8qqJ^l~l=Upc&5GF!*21*)af4XoQ_r@KY>tf?uv zuDbt_2MjaEyJLW9;39+>r~D`+WRL%ML;6y(Y3`OO%$7 zWBgyD0>k4F$9|V794JLYOb$(G&iP6D#JISJ1A-3{GMwaFWfN3h zB-p+ao!Ypu-(Qcp=a4uzF2ApuHYQ8uN-s?1>>^d`l*XoS9ny{>X(=ea1|=3Y-eoX0 z=Dp$);OsScI2%6RWL0h^=ZuiN4*6d!dB|7mnp{?9vZIgT)cV@;xf`leM+_C#5pu(|` zZQ`3zoNR>_k^hS-99?w@-ZZR)i&X8c7(pB!e(5LqJpIGKh|@LiX&E3Q8u-Px2LI1Qb4gzeIq_Nm%T? zCN@}j+r)%`vX9R5in1R&>pgvDrtlAPLUTv;ILtxD8^lZ1b$Zu24b!kBXd#ICjVaq$<@x_St*x|b7DX3XB{ioS+y@x zkchn5u^UASD(rz(K&iKwStbi)rwf1_9nbsjov!?A%@)o^mEbPVe68oEguvg}RF8FW z?|r=FX7hLEtr5~K@9>5|_R`nFm4!|Aof*y@@ zlF6#xF+e>4E@!N4Z~;;0!rI&Bhp{p19O$onsCHwJ5DhZ|c9!ZNUM@tg`<4CG*}-Lyuj^}geynff zjScKG=fYjG+Tz8o(csjAq!MM+kQBuwpH#7S_2U{zYaXcR$}ebwHOL&3FaIXZgG62Z z9H)|YT^Kk$%&cs!PS02;&SL-9%xE2}tR_jnF)^xHtY`FxgozKjg6ZTipwV2hV^i}F zU74zc3newrMFbP<>(O5l>>E!+muh{B;~x9oU`$KU9J8D^OZDWz^AkRNR=fYx4bfbb zQv~Os*LTP-x6a-X=*D(Fxy?*KW}6$HY_-~(n`xD|2P(Y5qQ|3*Ql6BScjM%g38)rv zU1doY&IPZ&A>!Z?%9Hp6FZt!6*#LpoAA4vAc;2VTNYktJ6Y4~`5}4(Iz=`~4mqfu| zjOc<1N#dWbtYOh@oZ#J>3c2Q+NDi{UP*ZaPfi`j+nmSlujssyaLXFK9vpHLG8k*Pg zH=oTltY3CZegtx6&q(sqT0=@a`VsA!Z5}3XwSpmXVM@3*^rgq%A_BG@bfi8mgB0s$;WI2XgC;t%0XFGX={n1g{^r+d zW7bb^cGy_uD(}+T-jeanQ1!JFOG$|!r)Juw@C8<^cSbyo;Cy3GH{{+zvZy3`8nzd^ z2G}DZ;|$qYz9aF0=`a%eCX!wOqzZ_p-?z*0saBqP-!kaf;qBKbFKE?K{XOdRLEmAQ zh<1!v%VwTHORf*!nxIv5d0KlV-~;b~a?`?i{ivflX+S)SsKw>9#MN}yG`p^*_3tDy-xk;uN25}QDR z&>j09jSpM?-uPhNVkmx4Cx)gP2PH_t+*pZok0)%8uR~5<#iM>qNM0w>IitQw3C4=WLOt{f9lKj@)#;1P!zSIv?+oFm|hB-8vlCo>?d=ex0@PCa7bUU zzH~EQ{-irxsST?hd7UC(_<9&yqYhi_Z%gk{f_gh0K4)oSv^S#%!YMEQ zFwcJ_1DySQ2G!0*S7t)eEZnbyj{Q6^2w37h6i&v9CiJ^QVS~4a2k%ZEoc5x$ZJh#Rq2ZlUCJgzBiv8#aXFy zW9G~;`|1|wNxT=ffd|yN-8lh@*sH5E!C|Yk!>cxn7HuF*E2RPiCerFqIyqBbKt*0# zVm$M6&%3i~2v+-^qNNvn;I|Z58ab>POnbc=bee9~p-8eUY*IKdynIGUjNy1Yf3e4o zvf<5{6(PZT7Y+`Vqg0(A4~?KSHZQeDB>bCPc&B6O3(A-lt{xOyv4_p$`~nLQPm&8X z<@Q4M`ty7%f3aHoXDz`mG5B~Hxje%ga7)C#FDbJcUcq>~#gD?&yveG5S9skJwq&tq zB4A5MUvRka2yQVoH@dLRK{_~^lY-voP~;7Dh}!Bb77(Io1H0B#I{kaXBs>b8)I-bXY%OYv2;X zz9Sv0Sy=8_D;M<=Lv`VzGV{L>_|J;Cyqb<4?R;mB>1^RilEq0xK?y-z>2i-t{PYC~ z4%!1t;PWKSf#MxgBx@Bo2U}4w=Oqf?@&Uz({UU>g2|Ic6wj>%Bt~aceq{iBhSaC&g zl3 zN?JP>6ExRW8b7c2-=aj4&t*3C_YI(qhMlnFQ^ZgCyUS#!A4SUUY>*X4%`W?Wqp$w; zt$%lG*WnetDi{e9KIL-|=oI$>l4x5cU^ZD2n(9#~GffoXg4s5k!xiR1@0!L!4!eS* zdzthWntl&yV(W~0hWuJ#wIn5u@>x=OP>50F#@!{+7cpz#vQJKqs*Cw9uaGytcK*mrxkQS(&3Or$ryhep=2E|de=huV!jt%ik2p-yw*E62to*&qBI;|Nlp{uLDPa0Q_0nD$f)u-8@n8*)o?{vQ^;nfej%w7>(qW z8$FFUhtfpMNg2S$)+Z^3$%UgN{@G`gKb)7#>n%xOP|_BFL(OM;8^ z>+yuPU!8bz4}yAo$+vB#d)!ez*odU?RxCf~EQWr2l%%t|h%rvxb z9ObdP%i07qJ?%Zw4Ke(rFdw2H!j5qDN53!TQ^5|$!ofv+a+SC|BA0?!pe%sp8 z$6YCPDP|wbogXQ&+{CPpt4-N97K3N-v7iwLM}04#*FZ0^GlgoXfqzAp+}`rEF{bHD zCLw9l?J2PqvR@|wYbjsr-l6yinXGzwemP>;YM2_)Q07E#X@|_CAOiFn{zu>`2(oI8 z0HXn1^;w54|72E<2SQ>(M8rc{!kM`wpvWL%3B)}fSI z(CqRlZZ_bD9vF0TS^A9J94OEpadG=^Ma24!B5rAzt8R}dqb`f%da0Z}-JJS<^` z<0#au%RVvGnKkflp1}6AGJ4Q~8ILynQ=~c>7n^AL$hSichKy0w>8J9gzN8>r{Vg7Q z;|eY(1OLjU8M`iJG(S!G>^YF;M(e;qqq*;jg1}#|(}U+qqp^oa{O+6>0!X(r;Q@PrwKt!D z4naV<+wFJ!i9~#ES{Bb(Ho|R5QM^Ie^r>G5j%OI{Z?ih93j3F;^L&o9WUtB7OdnF3 zZ>+4d(!3?tUJ-y~F2w=Lv6C?h^7EWW@$B zB_=0toF&E!!5TF)+u8of8fSp&@;aTKAy-h9v=lV_lG#L>0O&it9#E-kQuQ6I$@;I0 zXvcvjE9pj7B(|eWzw&w!+gv4%GwbND1=s4rHQ@V;`(CasuN}fFBS-?OPY1q_z23|b zduCN0gu0g=EHUp5N+`Qm?cDjhRTKYOwA#L>d=p2AJy4?P$?k;d`%pML{!IuN-|hlJ z@3Y^T$}up;|CCt#EE=0UI`A;}pAx?osVvam#*$U+UzY-3XcXf${5u`J-`3Q@hJCvv zSPFt4RbXGelXk;YlrYN&g&Zy3E6v;Eqa?bIA_UTW53iYJPr3Kn>Z?S@!|CdEpi`#G zb4+jQ+g{POHJ)~_68x^wzcIxIzri$$mZexzL}DjMZ}wfqK|65FDHPQd2Hf9T$)=c9 zh-FSb8=I=L>mrD^Oo8jV2%=xrH~5%Qc5-bN(mv62GnKh6Fh>YKb6x>Qc@gx<7;J~d za1>mB7>DN>utT!0<Hkf*`&B7A3Y9C{ zM=81O=iRy1+Hpopa-*JM?can3r6O$QPEk8+=#}8iw&UDUsO8Bo85D~j8gJG_{ombP zx5E1-Im;!dvR8~^JNR|avlQBB_zqw5Rb-|(Bog^b1LDoYJYEQjbt9C2L|!0?lsUiV za<(v??GKkzdF|oZuWU;Qvs-hk|7)4~TBPP3w%dLj8U-9l)6>?7I=1}ED#%Nnrt&up z<5T7)YrZC;3mzzf=CzWgj8^D(c2sT_&pX7&Pn zI@up=@cA&Cnf}i}H@?|X>5l3D5a{qVV1bGMCeZbTIdD6-HQVAZT`+wMb@2IA>?SC|S&apQ{ zK?Ff57OIH!W=E+aNG}RPln{_!Li4By(iDYIgNR5chLX?%s1&7znotrDFa!t$A%xKL z+e!4Cd(Zv8-*{uZ@q6$6arbbX6Oz5wUVF{Xxs+E;P?|d3G+dwf zHlN^-{JT7`pCkFXH~SXz{WV9oBkM|6m)D71D!$(@8MV6X!wvpXRrK2)tDJF;c>yhS zqISQQbZ>TpG)~2?w;)bLpLGE5o3}&^g@|9+q-jM%CqfHU<)d6 z-La@Rq;NC;)hVN;Lo`H&`cZl2()2j~0A^(cs=YEgJ+3U*(LhDwD1+|};m%y&^#~te zNFUv|iLdOb1GAPJ6?>FNOfZ&Sxq>{Ezf7g^@%}%yz3nO=njLY}ku6NlQ6$bP_x7d# zl#N{tx3j z)7k&sew9c5s40qcW>p(sYCB-gZynz2BY2cal%X!PUd`EZ%~Yaj)SKb$IrQ%t-P3F! z`14=*%e?kfgUh-;X8<03Jq?_m5-gWBVo0+4^A{Na#@6j~|7B+505h8{`4@~UXLCgh zpcJ+JOn-8AivH;>H{Y`Jf0VEyA0E)#l_*sROwUaW`2JsV;Lr4$J9>bX z`K@bmaCT`9CB~0$>p4o>^)q8d82Bl>#Vd{!F?ocxB=~E)<3XSrkZvDU(>L{)y65Pq zreEDH=iH$|b~0WJeo&Qmgad!x>|nEQO{UvrNX5{LQ@zmN@5g*Av<{m%*X}Cb%rzn# z><378qu5MZ#m1HOeDN_IdaAr&Q4Je5%sLLbapj_EzHi>YV!QV+6cLx2-RFevcLlOs zU+3QkxV(bm)^!sDH?$#D0qr95qVW%_0t3I>{k0~?Muj)`xhw5G)0cgmJv27MV539S zePBC(YRzx};GMo*_sfCM0HQCi^Zzo(c+Oyf#Y9&J$^gP?Wq42;1~VYRUz(`6N=lMkAl2+2U1K*CvGZ>K4B9DAa5ezxcx)h{Yo9t z0DjU<0Jg&LbjkmfPogmffUdki0J4?>+EJs1LNk9-6&>$VeiWFHc1KR9U-bS%(?K5M zWv@e&kL`Kx$n{r40BmsUFfjY~_X4i&*6h~q!88SrlW$!xKnCiILCX1ze~as1d#5Cq zxRzX6_8)rg==4%IJ82C4LDz~+zwhW9wyQ#*uuN9;gcss(EW^VYMWCsMr||@Z zH2|F6otB~gYv<-~z>DY_2TZ|u*Z>dxMw-NCn(iUxgKQ-qwinP~tN0y0fgj z*Au{S)CQn}k!*>r-v;Qs;0J(>fBvKzkhtSRD!F90#0f%AD@1CXVP4SJmsywsFSifB z%bF-+`_&*&S<20=Z*l?4_D|(>GxvnK_=`h#e2X)}IMyjl6wnt>%fhR)mpx=eg~O}c z180fQebp%}D1Vp_HN=(wts<*>Sm}_Zd$rGNR5SyP8luYHBG};xdFXUIT;Py7K7@m` z!i;kq`M(qaxa}s8qysrVaOR4QdzC2sKu7hk9i_DtXo`gUPy&Jj@NmC?jhQ;T_nf7X zu%Zhec7OK-zyg373gWEQy@C3jORq$VwL<7)`Zb%YK|>E!c%0x4n9QNED69k7KA5k5J$LXJK~7R);AG&*n|=-FIBmB*4G|i)3Rf$ zvZsL4A8;y(;Cq0opZ)$57n!1W0ZFZQi_)u|e5ZY@g4{9t`Wdp1hUy z_uxQm@BAA%b6dO`eTLHe+17T5Ln}5&T+CJ^LMIh_XZbu2PjyRY>6H^0zPqL9Zm=#G zjt^Md)@Drd-CR;DCOilX-uwB$>s{P}c9ZZs{FBy@it3ll-uA4v4_>d)UKW6A{lZnP zpN90={>+c+#x?Hd<$ukncRp(;&78w@b3_8L#m@od-T;7?_`N=2;iujaCua{0mt>m) zJ@w=mH76^8XE+50KF%GUJH;`U|F(zvqCb*YH>z9ED%j{O=yiXq(;2B=QsEnq-oI07 z00G{t&AJaj_Wu+*>UjeJpRd(2*2$|J0(P=N=Pt-zAEdCq;y$}jFay7!iHupe|5Ay$mgcX?;6l}4e*Uer+HPJ~;irQ|Qepu+~l3`!!X-5Gn)Dc4<6$z8n z{HtT;oM2CqP&6&hvpC{f=>vd-mPsOXZ=m|qVyXdLxlp>~Ut|o)98`;;`dH(KxKXk< z8i4Z(r+ev$O$^%w69+T@iB*RThl(DT=f0)$V@03>Ow_RkC4K?!?!tFEY~lPLMazeJ zT?VqU;r8q-clG5outn-S+ODEn0Kl7aaBp;EerEguDdk`F$+d4JcqA zaG7Md#q}kqEV|QM3~p@pWhgI%lqh$Ht4c=S0^q!`+zZoYNHT;g(C}NThb3OXb}G;d zG1jNk{f5?xjO5^NXy=WRhbD%(v4^uq0Lv>^H0pL4nd$bjxVwh_eeY42tvlwd;vAYX z(B!+t70Fzfa%XqzHD-2t zqympt3$URJc!A5;0EjaN*sFOUKL$v8iWuD~`b^^9HqbQ-r!xEDsh*tiwq%8+(n0oq znzN=&07BHW%%nL#jl9I&WqADdzZwXRaRz}7o&rpRR|qYao6-#069&NFt3RPEsQ=Fr z?`H~q3OC$Gu5+SxN)c><2h^ACr!T8+O$^?--F;7HSB3JUnr3E_(>NdTt5MH4-2- zK-E+N{^;Fya>9PQ&>U#RkkItCE6uJNEBi+>oF^_C{mspv1L3?h zaOEsbFV#;yT=Tp1E&HFkY|&kRXPBtfT=Gywj@$4}%+Q7nT~h1<@r%>z!~Vs7+QgDQ zB}zYS#H3-f>`e;@#Vt9#DqVJMsOUFetln|vLE#BYcg#(-IW*Hc<05dP419Iz94>GT zV)~h6OdaG1#2qidV%3&~SMSA!SCK9ii;~ivyje?C!)_AJ@2gJg?Xq0ws*hD!_^hC+*6P{Pu<*MK@{U?}mHr)B<7scdyX#bl1 z)PASNf;Qs*w}>2p4%={aO{4Z1dmnr@~){j6;kbB+nYU}7&I-mZt-V^A~t28yA{G6%37&g^4 zB&2q{!i&;T`76R+@2m=2ay9Dt6$S+<4YalC4^eRG>ij%^;YNdUI|6O=^RqvGrdNQ@ z+@BqUdQ-3cS^)?3d#6|V?ibM#`n%D+^Hsj7)jnc>J^seeMmv6nTtV^OgGGWTew})K z{aO|T18mI{b-2XM?g4?6nj?8n1*R0oJ6S5Js|)5Brr%QRzZb(Cvv$Y!`L0*b)v~{P zzOu3saepLyzbj(AIj$KPKQIrH;lUiB-D&)CPTFVYQ$)?mqxQaa5t*7(vx4mT3Nw5j z0zT9Kl;^C1Le`FNnvvZ5_atkKSnl$@`=!sNJl71Ui<9aa6coe&&wce~8f4!xesTB3 z)hD)39k(^_i4_o1P;su8e+>MgUne(?)zLP$(+5`%`;W);Wzd5%G$y`qvjITYQ-2YK z6Rrshak9RXp1eWv_oz{E7E+j=N-{P=cFB(?d9<_5`D=R8{_cWT z7vybH;2IZstU4?4wy0>-my$0f5ry+!S4>N!CCi`Y_6j#xWVe_9-c*{a-zO%B)Jb%D zS!DXQL_;{Cie=3;Wu3b}%DP@0*<8YmQ?ywDLK^;OV}(=?ii9lRwW$qKl}ympH!x@< zRr@&x1!;(iHq|a!%Wo`tp>Byp<$_;2?f*Own0U3fZkG$S+@gkIX7Od0^2y;Q{ zA;P^X96kmB^Cv#~OewAj;J9(14$FKazkS2ln8VBvMow$}$LEL$3rkB&_0I_RhR4~` zqAmtTW}=b_5%Vadut8Hx?`G1G)aSXHyL_dFrse0QcF!+_uBWSfU`|z_{UuJi?4BQc zWzZSNR5NM0i|Ami&RYoF5dD z!viO_YEey0sPv;nIC;n5SOKb6n?(jAFOLD8P_x~B7@b7P2-TvE)QR9UnKshW(hcB@ zU_Sex`K!@!am}ATT_V;7!^#2v9vjf)oyXO}PH%oI7_HMr%Y`uerj|+OIpZRs1$@nj zP4Uf-9rjJF+ug2WhrI)ohNu4A0B@)dnN$%l=PV6wo%iz#5!k*@_<0Uu zgqPqOa{%SN9Hh-(a}Vu0P)1m}wcAqt>Bl@bjz?AhW~%?G%srrP z?|hezNaNz7x4xla6OB|o-eaU4qnmv&Dk{o@iZANNxR0a+loSfj+185iYlj@z?rYS5 zq*V)ACN`p>Lu0E9s=TJ=F-D-K7B=7%+Mrh~u`b0UUl>-4mhzNbtW zIy=B)$+ozr(6x5fiGBLVBGmPi9heABl#M0Y>-6oHACN;Df`^5dh7yK~c>`WhNfCjs zPQppF=kKpe0=*EmQn6{%aond}vYcZlxP|@=D?7 zW#c2;-vuKCp3-^0X^d<9)}dheh^&UIPZR|hz`@B$!fn_xf@;s2{Zb;$W?cJ_6(?yq zx#rD{HOGYAaR)TwBqN&y**|?blOT@>@ z(tKk=fjpv8Qscou;kOSySZr~q)V8!ww*BwqPF4l4+#b#iL9SXbid*ncg**|Z!eXX zA^e4I-<^`y29{#-jd!gXz1f}muAAKY#IPqezmTjKt(!V;ow@HtOTbB7P=~@d4U?q+ zXN!?%9SUb{GQd_Nr5y?%oPcu5QAusW4WH^(?8l~&8?XA6S=vagy1EA`_V-w)Ym+yq zK%$fA*iyRsJeia(&&OCM^P8X?*JAxYn8r1dt)%6USEPYzx^)$T1h?TRU2@l{DBWP` z_sF?8oJ&SSclRZY&`IU7rLmZZK+O>7h@QOP6t-eiizWUKcBm{ZBvEtl@M*}UP zAIpr)?W1~-r^_uWjUy@3xMuH}vT=c(&x>=$;muQiErA9i4(yldCPiRbT>ZN z^zieNHm{2`c~JZ6;RiodQj)*Sr_uM^WK*N#B0!C!8DKmtLmgK?{%i~u7gAi4)ZvSr zZxVc3YlKJb_AUp{1U8H@A|fj0ry46l)*ea^WBGdQ?IJW2hK!S^wOIF{)*8VSbBFoi zOVXiep_hH*$us7Tu$j)h-Jq-n5pvx0w|EDRP+C(p6kN7ErSEy?g%Ici^#*L)1$dj9 zshbNqJocN5#&OWNI`3vj(f8EqLkB?pN7v=N>jSo-V~zLOrh$*A)7wrX{L;X0UI0-} z(5-P#{Dp;*G~910I8cYe1-pdA6AsHX@~p;h+1>1Pp~al;g=Yay4NXPN6e&%O{d;H{ z3cDz$C;~@@>G}=hTdp<+Y&*T8p|B^zCk=e(vi_ATLfRo30JUxdXv%`MpRR$6YpE!4 z*|LX*Rh;28?4av+dA*|VQNg)31bhkDb}bf2HRM=wka$OS(j)PTjFX?;e0}lr8o#ei z00nz@ZC#wzPxH{)k_HA*1b~0x7Z@!6Mfz!>cwQbJN=JGrcuQCpD{HJx;3`Nj*c|AL zn+u?;lB}^FzZH1FO-oBl3r_r4Uthn;M+Nv& zbNj%}aE&c<$75n*8b3bXJ|1}r5dqjnz$V5DD!Vl-ER>{k!QG1wK95P)jw=?Pkaa~j z!NAVW&L+PN1GgG!t=!XKt<%}oM^8@=<=7m1L{Kn_ITEVvJJbIX5@2FtG7ng?=Gx%3 zv8*_)=Rm*SX}%?Pap{aeeY^|L%f{}03!1cxTWniBHjIT;CSqVrS`q>g zo3Swip8xjoG5d2MR(SXB-32`}`F&%`bEGJ^{YrcP%1mZ1ab08VuRiNtN*J=rm}sU#-E*pnF>NtIoF#)j@EPn9lhi&8 zTlC7AFTyF~dfbNHT8Wb$sSgzUvEEa+uI%u>n{|E|0&tk%a+NRCJ~C%XrlCDm*&)#7 z%)ZbKE7KCId*xQr(lLz;nEF`W)R4U9=5ehG7Nf#El~z>)kW@-a%7aNG0r)fW^0R%7 zY*(Yby^)Rcc=pTHtuy)g$I0$>hpP8$^6ai#j>?tOh{%gXTD!Y$FZzpKQ zuH=L?Zb3cu-bJtaBV_i|N8gXmNK)o(Vwan>lG?ZS(&33&*(z+nr9QiyStVNpLvb$4 zZO!Z~CqrAXz;?%wMzP`xs0K~R{t5-;gLQKIUeS&|IwPzVq#`Q(RKGb+#P8lGkmB~L z80N^EnZeqFtO4zQ5foKWUe!Zo$qjbEI60!wF0O!|HL|=uW;7Ow-3|bqU=K2@j-c9q zY=m!IJtGo2Fxy}4=U!M?*x-fJ8^ng`z2vJ) zob2PX7T9g&?L8Ce$ewd8Dd-{Z06bwwuplzegyL@b@rNVaf$ztsJJ5S?)+XDG<80MW zIuMrXo?Fz2jJK|3nYD_xHjO2N-#g6pW*!-;)TY^`N9W4<+O)-9mTTsH7NW^FHxhj+ zqp*2x4mc>K*ft7aK7fGM*aojF4fn8oFyGnOmV`Jln1j*Gy4+@}Vrv!iF-vi5y1c*6 z&nOxxt$y~4-*vwo3){LLrSxupzx9!`9K1%>Q-G{7H25GDZ|(e&z+pevT2b~-4`t64 z!x>AZzyeY&sVXcgW%B%``rYKg*BAU4h4EL-3a*-2KTl9sep>=lbE{#p8r#>_#(%WE zLIqKL^`Rq&2XwFWQsZ#iN{5H`1xq1dqsorVj>zSiiP+`3&FNdwwrj^7$ac*>cp5P# z&{w(X)G1M`Pw>?R-Fpma7lzf9Y#Jyt?z8&PIXIa#Nig>geoT8y(p?i`3vRf<%L}Ep zIS{JXRoSdGu(dk0C$Lp|VfA^6h5MiQF#a!Klix^9k!K2Y&?N_rtz#3al^q=dLworl z9%Nsc5T_|S=@Bfy$(os{ndH31wR6B25rL|RjXw9L27dtYRUU%9Kjq*Ndn@Jn`Q7WU8-f`-sgc| z7D-=4mmC;k8aDTT_Y_($0urOf913k%XVIGSjJ{>vE5XtZGhKz_+wEhK$3j$nY5l^4 zIqBx5JXA%HlRV7^duWYn?`=cgh>WZ-jq7KPHlyZN__G$=LwPK*e2hRoOS8z?y7^l{ zs~>}cOa-E{^N#I{mwDF(9cY#KQxNC3K|b9tNZKD}8@rP`)7Nw`FMJMcnYmWveh2QL z|gn!08M&P~HR^x#X6_?%SS+xsS8pdKH63Dr?C3)1BnwDuBFN1yM z-)f;w_NS}-xHU6aDN`I$d1wegwBu6D@r5<7`NaiXRk4?otHy+%NW^@BNTa*7Y}0TZ zYxYRBr=ml)@O|< zxzrvQtVx;>Y^aEstZ=8-hTAO_aWgdf^+~iYYv1G8qca^H9r+s3GnU!He#5K>MAm(9 zLrcr&HyE=x*8usF?CdXz{8_an2U{^(anfrzLfa}C?l-hwOBz>Ltm6gQ?ujv{8xhg^K7X4Y(`O_#nOT4 zA|^eswPh`+Yf33!DZm9!4RVT;s)})9pN0Yg_4~KOMcg!Kezg~)qb$^m1A>MLom26x zzf~V9?XW%qHRoGuX8F!z0#&@OUD2bvZcN)ZJ%!Z?Fp{EYmPQ&g2S*z2IiV;%a{6vj zD>?g`pSA9m2N>VAMp)|EzJ~7JeVppFz_RK)wWkT#-!lU!QZSn?s5DG7z+ioIj#Vp$ z{5rQWJhzvz#2U!hNKRL|FtS1O!w?||yVc|G;ad0Ac9ZR|L4!nTadVy^F7h~Zem*C3 zH7CFGUjJj;HLnkw-;Fl!UB=V#*6wGNK4e$+a`xD~%Vu;pYJ?0-*tKs4pcn@0lRDbd z4?Yp>U{D6djv{bPuI9%jn;QRl6os|UO0(Wr@!O<|74-Oay9qq@r4cI)td)-qCzX~~ z%K2yku`^`Nf-bHn4!HD-?z|pedp8xMJbaUbV7}ztKcG52?4QLN{QfoI@QW%z%MXou(p5Y}I}nA~i~EI4fI=hJFH zq#{L*zOa62saCKEh)PBynkYrdtGng3C)^<*&>Ne_s~shGMH~4^v)ygjSO~Ks_gb@C zbr{CF*$vZrmU$Gf^sJg^-l9|Q=mPDP>5}94?_&CYE}7LWrzW47ich>Jns z0m-j-FxE$gG~BSA=t4aWudV%6#%FY`(3VZe=^HvzWQqqHaix6jD8N5ky-&x zb9l=$U0Y4PUgPN}i#C^>$AL$ri72VIW5+gpXWX7NMZV?n2D}?$>|?F-&%)C~cwi&h z)$RZfR3EJw;;?i5aUaN~uaL`+N%+`7!%=8fHG1fLQIDdwoqJ`#50+h`W}ov7@OyOhx?)K&C-48c5VD22s$Ebs*G*Jz@9F1sd|}{uP(}?R z_M;o3^(=r?fxQOQKgx=%$v+_l`b7E-0ZAK|59dUg8yP%@2JHR^_;1g<1| zf1m9q>Hm2WAV&Sa5QAq@scf&W^<~mp!boI91hO$NB4T-JUgxeeq_|RmIXHV;8}9G6 z&s#7~OnCpK9U#`tYOoWxi|%ea`>!1ZZWG{il=^t!+Lxg-g|_ZNlB+;I5_|yqq&oc) zDI7m_+$V-tpRRf855cqj>>+$EcL#-yDyypxEl$Qn{1^b-%+t)dn2W*Sfe$oIU&3xz zll|U;tJw2iUKK}3(QsXkhq$}$z`*n z#GJPUU;Bbir^jiIK&}I%gF;wv=C_Hd+vQf}Cdaq5U(5=_*K+jgQ@5*IJj-xhgl!S_V=Vp)&o zZ;!*}-^okpfiFgv8Y(<=U5SzwP;+>{HyOse_iZ|Z&$lVQ?PBr)sseK#w+cR2zVOxa1m}p%OBZLPyJzOJk$lN~ICuR1v&Khv8dI%mAqsR+X;$a$xB7Ax((BxKBni0{63e&m9=1_3EXwSM*iR;Uc(BroSw~etnGJ z?=G9qflH;B{{;6FNJS}ODxZ z@K!RAbSKKSQ=o@8F})u{Hz>gAh*%N8{=DVxYyo}9WVe$upV=I#W39E4JuBBonYGNu zovh8&5gT?Vav`fGT|Z+0hExWXa67|(;x8VYU@`%mmDn)z0 zFpNH7uvil(qAi?XI$RTAVw%&9`#B7X@oN7d;M)U*K7y-E=Bs>FfatEummq+(U$*w;Z+5E8( zSGRiG>+z+XeVwRYu->NKf|@zfX{(ANJ0n{Ky`nuvVRcy3{a7afBHt-i%2VG^rvv`z z$3~QhcF4M$1G7MzMbD2SgoIe4`nAJNGN3DM3l{BQy;|LJ*TfkGZ z0kqvI@0dFd%#qEh3^wf+o{g;8E%uX{AxLYn%hnQ@-|yo>^?@lIybtX0Q+Y%#eF%d# z&x||;`k|~T-<=%sgB&b1=_qk7cb`1kO0|`J)Ih7-TqSUc%)d^sXRR)bY<}DCyEo{; zIn2qa>XR3R1le*ZWQ2nzZKSfvvaA)fe0E)44Z{Cc_EifVOba=MT8pyZTv*7_-t?G% z8am%V^*op5y_g&HQNAFTcrCRU~S6cu_)T8uS5p&qRrJ=mQ3YP{Z zQ3n^^v7f9tQWNkhq=~jaWHC!_c#S!-{yu+e=9T+jVLjCTwLC~)&4^W9wo!)GUfd6W zXFJW2&?Gr{6t|Oab%%8jCV?@NW^L@b;n&`Hu=r;WDs*K+5X(&`0)2P{P(5^mp245K zOweYnkVWcNb${nFZ&;7j+WqvRj=JGF=30(X#v*s;A*lW$cE?Zlu15ufr6$-&=fKZo z)UcR!089>$J-@nt4dRsqCJMO1W;ec{(ym+wq>(abR~N{bj=}}4&0^r@NV})J)uAi! z&@(@a%7PxRFJbE1Lnf%my4o5b0OMKd-}E!lss1h&@Zc9_F(X=dvo->i>{%z0CH%@M z_?nR3F(u5h&hZUWFRb!%%6 z%x1#l({$F~hEngfiHV0f>~w8$c+X8D2MMyb-COKzSM zZte4cHMwfhbXP0h9c#UJe=@L=u8a9B8%Yt1i|qEtH@PC`1*~q2R3j?oN4j+?!LQry)GLU6|ooIWjTb ztu}#iz5F!*+;1h!nLPZiB0Sc{uTfp*3C} zYM1|XX-GO99O7$$z1BX3h-}cDFm6pkTE67&$zLqB0mdwTuo^rN9#B+TM!AmC?#{2o z_x0vMT?U->qo<#hwoS=Ux>781rXMs)a~{2T*qYl&2WX_HIjAaXX6+tYvO|`j3+XSL?if@~aHoH99*E(6Tgw@Eu3Gk|%3@p33aUT9tg}>uLf9RQ z?oFXgqFn>3CQ|ZL;2H|(#T3Y@QeUj8?ZoWqO1sIGH?7q7)5+?m;A!qR7PB4jr6Ty) z$dpZMd?vbv$E)h38+HOl)hGa}vP7wud%3r-Ln@!iQU|HST>j9Z14~b>1?I>*aK8FsJ)^FDc!b4vbLIOTCg_8}R1J;P37D$TS}EF?oT*LraQ@z1R_LmNKhtfniw$ z{XASCkW)JV+tWeuYq!BQ$`Q2gjI4p@>}!AMaRN5=S*nfCNoNEUf^Vo}6W^&Kvb4uv z(c73Sa$7-hEU(X8p1!W9<>*(b>$|GJY=lhKIC(00yT*`rb>769G{Xp4*)_vZi()fL z8Kk%@mbaoOpEL*wpH8;kD`X{Kqa=%6)jR|+^BE<6JqHA2D64 zsBj?`o)*1F``q)il6V)bK z1iQjbt*xl>@vAni442kaKtmay9uZ30VAHH8T-}sf(WwSg{xySTi<;M^P zlW_S&FZUI-#a2lPy3$gqJhPNTi#zTZV}3)4C)V+eV$|gB>JZdIV9`EF+r3t$F6Hm* zV8O*YEQZA&M2M^F3XzO8OJT^KO7QPstVO^+CPJTq&l%<7i_s$TBXJ9?Qyensbv3wA zmD*nQdOaj)h+&))?iy%f;w6#He0;Anz&q;}?=};Q9=wvm>(-zIT#?(%nt`ePs$jI&ebCC0_$Umlmm&ne$o`c!Dlgwij{nYYgFuxLfS~xFm!eiz( zE`Gsb{>(saHNmCIqFN82Zk^5AEof_B4tQwPGJ$CANI%C6nu&*5QgNKw2$PZEt%jiW zX=lpQy`~i;nyqn=JTF?H*x-xjJtQRe5w%AvFxEu9iuM>0xiV#Q^wjLr$dk7 z@iidxb_uHL`&i{|A?8tDnT-$cYhHR)-E&>a1-EV}nZxn&bxwl19qOO)3!Dd?ZJ8bT z?Zr?FTG8W!(W65YN399obC*6M7-NX|&|)L`UKB=#`Yi zUpejTExH(R!}I#KNOMapFA~=5dgYh3iS|h; zO1dl+aT%wPE}|y^g5ws_?Bx~*Zb1-PLnY*?6v+EAn}xRiZb{aSKq|jdQ4KoAT-$(_^=An{DMz4W&lb(^iAA2y#M5t&+3mc;C^~!K8#T6kz0Q z2r!$aXb)t7X<&NFLLhF+M%2FnB}3E9W-@u0g@e9AQ(!K1n;~D_0U8tMDxdQWIp!fhimj zUXn>q{5sHyQgpEX5N;;;ES6c=ykTcQ?Ad4hz}BiY>R1%R{6?@RD!liIY9`5Dq$dMlC-+k9i1fBP+ZX1OqA zB1v^Cm4aJesVc9N#(UMST@`1K?_2cSND>~JbSjVXtvKMCTl=o=lt4ELGrRkWqmxTy zGpWxAFNX&I^G%~i=$d5;S8(?rH1PDxkdGWp_NG^FrvBWD+Q_FLGgf9h+$`f{T88px ziiW;84tgr8f536Ix}_V1bNj<>7zI<$N(U9DP=BXa@a1Mbv{!_2Ey!n-e9+KNnrdHt+XGsy(~$Q)#bk(( zsA<&nWUP#_)RBjR=j%<^n2NyD%u6MFWf9hm9!UAF?){J!LHQg=lXF}kn&|H3^WYfW z8lCyQM!{8vtDLfk2u)BWMG0{tPaO%yy}LcL!FCsdX%l!HwXJb#&(3@v$G3|s1B#4k z)f}Dnxqo*Tq7NI)Ae;mz%CCt#z>$PR`LPtNgYUbl-Ufwo1DT=dNaKx%iLl;8`h9~! z6GoW>x0F1Zeo*}b@srn}DC1?3fwX~qN^^cQ{!1RjE&cWX13ivk4M(U8NXqrq;vr~P z=27c(Ti#McaH@;W4Gle{`N1AjO)tuK#Kz!)G==Ct)wdtge8qqzL%nG|{6*FFAf~&j ztf>%eJApffOou@x>eH zd>pM=Z)f)eblmV9SF5Z134|zmqoBr`RW(F#Y+8h2`9-dHfVu(kIO>`n5%i=X=erDN zSY@S$xmC45^&byQ_)@H6@z;ZEC#(omKW%NSEcO}{%XtO}6#M;eWgvS=i*U{DkGy9q{$Fb8t8+TftW6Or&_e61T3dL4-)azEW zZn2=6`^xaTPd+V=erqoK2|9S6MENmTp(D5Nv)$0%rzSf`6YtG`34C8Bo^cFT`|GD$ z=TSpdd+Fi~A)+GgOZvf2*hNFUW!Gw2Gq>%XclRAIK7tbpr%5T5PY)O20yvrt1iDt0 zo*CbI_;c&+>P&(cYP^y@;ly>XKr<4_{kEk}o<@1IX(kzGREGn(ZZW9d447Z;^{pS6 zLhi?Xh7SBczx>7qf>_}?Ew-y=HFy)&ZWE9OOPezH2u<{lQsvx*qnRyaQW>6=fJ;?b z-pjvAU!5_=q^QY_D~H;~m));)29j)^43>kjUgTpZ!o}ikDt`qf;`mC-p&22YoPO#R z)Z3qv);8$y4SJo3vEHqdg!lc5A}3b7-q&h6v@LRUEU125ued)Q`66XAq#@xRJy6y> zuw!I@pQbOZGzHla+vwDq)ZT1+Lj+#tSh*nQjU@(T`ZjlbZKS_!zVbi=;)o_J?khD6e^_ARzV5YTS^W| zEzqMTjg;(_prqf0aC|q=5%SW^mM@x^`8_vC0h+LrMGkW!5BhAVUdosdJFu)F`1;M5 zBfQx-TydT`PF2$-WKKTLswt@b`9025-6_29z?2?3i&PZlsSd)Tqj?B3hfBr!vQHxZ z4n+{N&}ljlhxGiM!=;>7AJXCdkH~z89=^OdSE$2-=Up0Rn&@kWwqDeC-+AKSpwy!C zQybhzmKS5e(}CEQ>2!aRy0ch|BJ1Zvdt4iZtS$-#PE4&^NN%YJN~8eNfJ5Vz7l~hQ z2IMW3+m$+16Z)rTQj!=m07}#yvkb(>CvgH1%o#!=Se4SGhYYb$Kk2gSZux9*;abO3 zd_@92ThUpQdM=daKTEV$V0r3J{}qP-$Lr55S@{fJ&fk{@4xJc0H$9Le;gc_uLnaQc zKGU)n1az3XB%)F&p&?Vkw}?Pfl!Y|{t7Vc{LxTV;#aos~W6S~~LU?T+7-|ojo0aE_ zTP)>fa4ju}{tohpkL26K9i?}MHf-#8{)C6Kckw15*YwO zmQdeF*6V)SVSN&OxfyR!4BFeL?o;EZY1Kj{g_EbdyBBoFZk^lbqF>+(DNc6ryMO!P zS5!O!+x$~Gd>7{hB5l?N-O%JKQkwsX04o=+m7VBI#8 z9|!U)*;oCJe{=Hvl3&#nbbvAPW9rX6v4u{B5 z#Ln@-1uL^J#SbnU1W070H#G;Py^C*&8#5l_1cQKBGqL05SMu}GcyMM%V=UY?v}VGx zUXdJg|MIi|3Nl?%V^&NA}07#_Byn^XMDQTs<0} zeMbvQb>_Z=N>u8BANqxRxNQJ$+NF`!Wp+1w+6x=9iOk+xPH0PLu53;YIm`q{Vv;z# z^JC^Yzyi1nB&ua@p4=jZq1x@zW)bgW*StcFe|NQ25y)B;?=9A(rc2H3kQaLSy@l~P z*B{Z&HybcPF?=>SObr<3JpJK6(b|6z+J6z+|8EgmL4(=1r^9u2Kyr#_3^7VZv|p2^^aiKO#oIZG_W9t77V9eL z+kB{7(j4cm2VcQ6vgTh6Lnmtee?dQ#&6cD2e$)PQfn~1=)q7j}bhF6`4cYgEdXvm% z@pcNPIHJq9&gY}$9#egDnMasM^-z@-2kACz*UnfTMyT1DG@GV*Ic}R=qu-guYWU!u zZ@B6wfIB#Esq;dArsEY<@djoE{;u^u0c3fvyg6GZ4R%|>U#GkaMT!UJB2aQugr(0B zTDy)3DkkDxAK7~DpG==|pQ^Kwc4_Xm13Y%#)qxLbuvS8*z1f*$3=lf5%-}eaAXxQ3 zbvOayb92h2Gv#)H;)bnNon3H7J~-}nJ+`SS5obFL$+n#HycJ2Ysf(-NcOCHcKS|Hn zU0%1Y;7}__}g}^k^`^*3g*@|@{datoVGTzb+^PRL}z0grh^l; zwoX&-xKjQ^)E#)eI^h4#UW+p+NiZOib<&SFDp}t}E-!px+Y=6W30mqgaVsh} zf<3gpP&2!8gy11h#$c_WUb4Jn9>+D{H=of;fCM zu-JXl|7&771o4LrfYX#3#tuc@ePEkNXknOtRW$K1)I25c-EMkGk-4W)jM1eLi=DQ- zWhbo#S^eNsOK1pCr8yuk&+3)Sm}ek>X}<009>Wa=(3~pm$K>nSHa$!Xz>x&kWTJ_S zI;9t^8;d~@Zc&H~z|0=bQS=eup(a+nj*c0e_k68orJ$q(EMT?u+T~=&>5#-?AI3dV zfCNilrot4N+r2m;S(SMH6K`%Kp^`=6Tu>i&KGN1~>g5a4xx8wk8GqP#mEFby$8W9w ze)4w>-mhCgOjkBHhbaW+9#frsK30{@m zUYjAgG?Z6HUyzTlw5;{ttBVPtnN)Je?s9csLs>+e#!20AOTkiX6Zrnspt-<_rXW2L za#PS$r6Tmc0JDf2P6Ht>vIEEAwB*j11a*5`|H>z?_*+*AZntfQXgnU0l@q;7%N9SUEL>}wNxt0Q zs&jj+TRALRe;Nbce}PsR1HL}pK^;(da2UqAUNB*mQC-QPtNr_eVqnLVF&!2c(0gtI zVkXX2ZjM)Zxoe3$k^N+9xl?q7GcxH|o~+`$KGdXD$0}mhOkX$U_wJ7c;x+{ z@j+ZSHKF1BZ5&ax5?qJYXjN6V3uJsAlsE1PZB^?{WUsw86qU&q$x|)iD_+eI%5cgG z+yp2R#^m~$DzEN2US%6_uASZ%smd}|1RSyM^%4-1Nk=*uk?Y$ z4d9CX24rwB9IQ>_WaXI2VyE7kKwn(oyf#~0!nRqT5*0ZyeNrs*V0FxK{*T3 zRc#b9yy)Mqd;M`8w`ND+48osJ4xIb_b*^PG{#E@WuN2(fuNMA6J@kxrPVg%1f3|3P!MJN(=FcU z2hpBXW=gpPan|HdNtc&Oj@zzwk>@TYW@$XWdpC^$_@gH(p=;UPYWdH&-(>^|y|ju! z-kTF`&ZuZ&IL_bR)22kIDRjS2zb<~+Kosse2rz&%OSMHZHj|NPJb$VzCw}Wf{ucMw zx~Nn1=zZW~mV%;6Kc+%CZjgXklUhAgkev`~B zsdSxRrGs%&y)A1$V2{cYKYC2V_<#A}6r64vQC-If2WN${xswka738)eb7%;&Sl9ib z(Mnw~h&}GYQLZ<(?9Y4<1LHJN*qA%dGwMUt$u&dp=SU>+WnNS;+^^?pYuMRsQ2DA_ ze(6m9mO|$I>^XY}g$As`+U>Q(a@s7^{@uSi$-PMrA6%J|8$(p71@X;dx<17KH~p$R83Hhv zKKHX9MQaZYa@1w?olzyk>1%szKd&tVJ;@G*by7TAf(ye;*oj_TZ zOduz7K0Ci)a5Md1LSTwSE(f{R`y|MD(|rD$BjAx_{2@a}Ig< z0YC4|cp6Xy0t6JA<_bqnGnftcGu2SR;V7XIouH2hUf~>*RGw7WO5qlqIfHP#;c>2f zqnT>}j6XlvNiN~%XY?3tJPK~zKdztwUZwP7s-g&dM;XBiMcZMd8+Svqf<8EVZwKZ^ zUlmTBaI%AL5n)7YZrktPUz&U6oYT&O%E$iGUdXO8Sgj|w=bFFnQ+-`Pi5vA1Cp4qi zmA<$3o2O??stcrU;XXo=)fWGMji%$xTa+M-szUxospaC>^t;b7{nIeIUE|Kv@P%Jx zA&5b5Wk>N%{2ai}0Lkc2eoi&Un_wD7jZ0WOSQ?EObOT5V8VQ&06x$RtP0mlTh2W-e za(zg&M(m7X1gr|!;gi-&bHauhDJOfnDkj&8j)W4A*&oebmOs8~i!drhUI|bY86qcLp7{q#sa@y@@KZjoM+y z{mWYYlW_=mGS1w??RaCbu_T9~>)qYu+oAgh1X}HPtFJi1HUO+t7hr;@BF*A#!|axN zxj21{ges% zHUhv2G)uPG$eixtl33;?4#L=s89vq|#w+-h4FEUXOx!pyXM3wRX0kR@kLf%-^c%TE zPGZcY`d!m+F%h>ls+acuAqQkw6MbTknyt^KIk%%RlFh#N9i>+Kvosvnr?HlPQ&)mH zPcx-mg+#585cs=CJb5Cvlh6*YpkqCg?u^FC^iU%RgapP{-zOFQyTVlb-=0h>6Ef#7 z0fiWAkxEZu%HG6{c(AT5(4HVy$o?2c&W0c=kqwkvD{N9oRs*-!mYA3R?;!Klzyl;1zY+=`pt*KP_}fDrGcm2!0*n#AtSuxf(#&6< zno4J)uDE%&r->)_Al7!BbIc^7bxJf4NRorJveaS|gmVJsBHlETi>(&)(eLV5De%M# zSpiuY*fU3nBW$aNM#%CmPXr`%)`vY1Jyw8u8`vDxn4lNtKAfE}RJI<93lz+GseU|M zLrCG>B38SON3wS7BT(Bd4?r2Z9zsCmy+lL!01A>Av1I3?0`!+Ew*g^7@*xBqGo0Ia z8smU%J0WJ!BldSVOUXCzWTX?Hu7dGv($9rGwr;D5#)VY|1|1Aj6}cUpn`ZH>)N7DI zsxvN=pNH#$S?b7d)5+4QApTf!_aX9I2X8o*KX_u*6lu!dyW>O&(wg9n>)&&gU%ejC z2O)1>($`VaS%7KvXz>)>cKqR3)VO)In%7G!pQ`7}jTzPyA^c@uWu-fnTT zB609xJn5u<-=#^pJ`AVP3%(13Z?zAuOA41+yAr8H`P~VY1O0TNh(KqwVgYFp-$NN< zx*7STrk(^9R}A~u5!}#0QRaRno0|on2;jF*lIZki7!Ni=iw^815DVq(ILcK^-5Kuo z{iiIm0P8kv^OFf?K(ia=t zX}M~)iI^*Nh3NMaIG*xbi9uhmY;HpLZ4a?IeXIH|oV3urIy|CHJgKSnQAy5n6cx4P zCukYO#WzLXK|R&@4?`uoUJi{vp*P*}1%W<1sNEyrvqruwg=|r{G#D`PktRMV^ZuVH z5UZ`#DF|nk3Pn1SYhwX%>;B_N@gL_iE916M69dWpmy3;G?(WJMYo(jpuZP)`+if4Cxqqkx77N` z-YB2tg#`)soW^HeCDgf?t?y6P$&&v^Tp)~-J6P2OM}JfIaoNPq8NoYca>A})Rc3#B zRHu{fsvF9_L0YBxev(Ftw{s%6fh z^Ib>6Tv(NHWkZL+E2=r?L4{VB{0kqr{}1zl;q@Qh-~S#TSgHVs>43Bx!`Z4>`ONO0 zlIk@9FIx$IqcRE3{eOcF)aQJl_o?&W<y5vb{@NyYp~W25vXr@1G~^J*(I14_7(f~buD`&%|$UkO`^;eU}0hoN@-*j4QV&*wXa1iW`J*Q?4%3h*ZPA}^f;DjOqMw6tOrHt;CH@7`rP{}XK z-G5nMblWo-pUR5+{+$%!Tj%N+$UBL|Y35nKcTLA7)iF+qZ@*aC2MTn4zrv?+-_I*Y zwjrtHB2qRsbBwm@zBFZO@PV7p4xdt+s%d{j!h?OE)ns^s0B*|BnA{)V^AACH@z);0m)&F@>< z8ZQeI6_QRd;OToR&pLX9xGzGOIKvD~LQ<@qT5t}|N@^IkMgw1n&8l@~^<_=4T(^E+ zCeZ;2<`pewCFL!$X`H!FNw)Y#=E$>T)2n`CgMdOcm$8?B{!;uGDUg)|>#D(@|N^u@w@|t`(UcvXbes+g$fxdrT zlzw&NHGEZ5e2_cGf0N(*P`WqPoJXxdw?wZaUY8#Z;&l}t6S$1Tb;8`F9na#mpwL5X zmAb25+1Rg+hgPq_=N8lE>{T$)MaG;9r|2M}<7`e^2s^1mU<#a*vPNBvlwHMGFM}C? zXXj#hY?3qUYnpg71(F2&?hZlp_UB`0vpHsH_~?AYsddD}aR2ZuW{-E)0A@59l)p=R zMJB_RhENE`mROBK z-!)bWtIW2i&Nlbr(DI6ww2s`!Uilz$zI3N&y~Yb)L#L{ySF6p`)wEs)Ly+G7LMBl? zBbb>o(q)^auOFbt4&ls<3o8>0McSh|{9usrX~Iq%iuR$l3Yq{la*y3s>kU|Y^9g`^3;nBVCjX$+(m9# zLo%>#))KJ%B3rG(NtSBMO)mzKI1G>2U#p6%42<7 z_9adx$7$x3Vqn$2?`^kV4|Dq5ZOG%p<5_A$Y op1, op2 => op2, op3 => op3, + opM => opM, opcode => opcode, calc_error => calc_error ); @@ -58,62 +60,83 @@ begin o1 : cinteger; o : alu_ops; o2 : cinteger; + om : cinteger; expected : cinteger; errcase : boolean; end record alu_testv; -- ggf. groesse des arrays erhoehen - type alu_testv_array is array (natural range 0 to 44) of alu_testv; + type alu_testv_array is array (natural range 0 to 65) of alu_testv; variable testmatrix : alu_testv_array := - ( 0 => (-5, ALU_DIV, 3, -1, false), - 1 => (7, ALU_ADD, 3, 10, false), - 2 => (7, ALU_SUB, 1, 6, false), - 3 => (7, ALU_DIV, 1, 7, false), - 4 => (7, ALU_DIV, 3, 2, false), - 5 => (7, ALU_ADD, 1, 8, false), - 6 => (7, ALU_MUL, 3, 21, false), - 7 => (-7, ALU_MUL, 3, -21, false), - 8 => (268435456, ALU_MUL, -2, -536870912, false), - 9 => (268435456, ALU_MUL, 2**5, 0, false), -- um fuenf nach links shiften - 10 => (268435456 + 5, ALU_MUL, 2**5, 160, false), -- = 5 * (2^5) - 11 => (100, ALU_DIV, 10, 10, false), - 12 => (100, ALU_DIV, 51, 1, false), - 13 => (100, ALU_DIV, 49, 2, false), - 14 => (153156, ALU_DIV, 3543, 43, false), - 15 => (-153156, ALU_DIV, 3543, -43, false), - 16 => (153156, ALU_DIV, -3543, -43, false), - 17 => (-153156, ALU_DIV, -3543, 43, false), + ( 0 => (-5, ALU_DIV, 3, 2, -1, false), + 1 => (7, ALU_ADD, 3, 0, 10, false), + 2 => (7, ALU_SUB, 1, 0, 6, false), + 3 => (7, ALU_DIV, 1, 0, 7, false), + 4 => (7, ALU_DIV, 3, 1, 2, false), + 5 => (7, ALU_ADD, 1, 0, 8, false), + 6 => (7, ALU_MUL, 3, 0, 21, false), + 7 => (-7, ALU_MUL, 3, 0, -21, false), + 8 => (268435456, ALU_MUL, -2, 0, -536870912, false), + 9 => (268435456, ALU_MUL, 2**5, 0, 0, false), -- um fuenf nach links shiften + 10 => (268435456 + 5, ALU_MUL, 2**5, 0, 160, false), -- = 5 * (2^5) + 11 => (100, ALU_DIV, 10, 0, 10, false), + 12 => (100, ALU_DIV, 51, 49, 1, false), + 13 => (100, ALU_DIV, 49, 2, 2, false), + 14 => (153156, ALU_DIV, 3543, 807, 43, false), + 15 => (-153156, ALU_DIV, 3543, 807, -43, false), + 16 => (153156, ALU_DIV, -3543, 807, -43, false), + 17 => (-153156, ALU_DIV, -3543, 807, 43, false), -- add: sign and under-/overflow check - 18 => (2147483647, ALU_ADD, -1, 2147483646, false), - 19 => (2147483647, ALU_ADD, 1, 0, true), - 20 => (-2147483645, ALU_ADD, -100, 0, true), - 21 => (7, ALU_ADD, 1, 8, false), - 22 => (7, ALU_ADD, -1, 6, false), - 23 => (-7, ALU_ADD, 1, -6, false), - 24 => (-7, ALU_ADD, -1, -8, false), + 18 => (2147483647, ALU_ADD, -1, 0, 2147483646, false), + 19 => (2147483647, ALU_ADD, 1, 0, 0, true), + 20 => (-2147483645, ALU_ADD, -100, 0, 0, true), + 21 => (7, ALU_ADD, 1, 0, 8, false), + 22 => (7, ALU_ADD, -1, 0, 6, false), + 23 => (-7, ALU_ADD, 1, 0, -6, false), + 24 => (-7, ALU_ADD, -1, 0, -8, false), -- sub: sign and under-/overflow check - 25 => (-7, ALU_SUB, 1, -8, false), - 26 => (-7, ALU_SUB, -1, -6, false), - 27 => (7, ALU_SUB, 1, 6, false), - 28 => (7, ALU_SUB, -1, 8, false), - 29 => (-2147483645, ALU_SUB, 1000, 0, true), - 30 => (2147483645, ALU_SUB, -1000, 0, true), - 31 => (-1000, ALU_SUB, 2147483645, 0, true), - 32 => (1000, ALU_SUB, -2147483645, 0, true), + 25 => (-7, ALU_SUB, 1, 0, -8, false), + 26 => (-7, ALU_SUB, -1, 0, -6, false), + 27 => (7, ALU_SUB, 1, 0, 6, false), + 28 => (7, ALU_SUB, -1, 0, 8, false), + 29 => (-2147483645, ALU_SUB, 1000, 0, 0, true), + 30 => (2147483645, ALU_SUB, -1000, 0, 0, true), + 31 => (-1000, ALU_SUB, 2147483645, 0, 0, true), + 32 => (1000, ALU_SUB, -2147483645, 0, 0, true), -- mul: sign and under-/overflow check - 33 => (3, ALU_MUL, 2, 6, false), - 34 => (3, ALU_MUL, -2, -6, false), - 35 => (-3, ALU_MUL, 2, -6, false), - 36 => (-3, ALU_MUL, -2, 6, false), - 37 => (90000, ALU_MUL, 100000, 0, true), - 38 => (90000, ALU_MUL, -100000, 0, true), - 39 => (-90000, ALU_MUL, 100000, 0, true), - 40 => (-90000, ALU_MUL, -100000, 0, true), - -- mul: overflow check und division durch null - 41 => (-2147483648, ALU_DIV, -1, 0, true), - 42 => (-2147483648, ALU_DIV, 0, 0, true), - others => (0, ALU_ADD, 0, 0, false) + 33 => (3, ALU_MUL, 2, 0, 6, false), + 34 => (3, ALU_MUL, -2, 0, -6, false), + 35 => (-3, ALU_MUL, 2, 0, -6, false), + 36 => (-3, ALU_MUL, -2, 0, 6, false), + 37 => (90000, ALU_MUL, 100000, 0, 0, true), + 38 => (90000, ALU_MUL, -100000, 0, 0, true), + 39 => (-90000, ALU_MUL, 100000, 0, 0, true), + 40 => (-90000, ALU_MUL, -100000, 0, 0, true), + -- div: overflow check und division durch null + 41 => (-2147483648, ALU_DIV, -1, 0, 0, true), + 42 => (-2147483648, ALU_DIV, 0, 0, 0, true), + 43 => (-4, ALU_DIV, 2, 0, -2, false), + -- div/mod: + 44 => (1234, ALU_DIV, 3, 1, 411, false), + 45 => (1, ALU_DIV, 10, 1, 0, false), + 46 => (2, ALU_DIV, 10, 2, 0, false), + 47 => (3, ALU_DIV, 10, 3, 0, false), + 48 => (4, ALU_DIV, 10, 4, 0, false), + 49 => (5, ALU_DIV, 10, 5, 0, false), + 50 => (6, ALU_DIV, 10, 6, 0, false), + 51 => (7, ALU_DIV, 10, 7, 0, false), + 52 => (8, ALU_DIV, 10, 8, 0, false), + 53 => (9, ALU_DIV, 10, 9, 0, false), + 54 => (0, ALU_DIV, 10, 0, 0, false), + 55 => (10, ALU_DIV, 10, 0, 1, false), + 56 => (5134123, ALU_DIV, 358015, 121913, 14, false), + -- extra + 60 => (5, ALU_SUB, -2147483648, 0, 0, true), + 61 => (-2147483647, ALU_SUB, 1, 0, -2147483648, false), + 62 => (-2147483647, ALU_ADD, -1, 0, -2147483648, false), + 63 => (-2147483648, ALU_DIV, 10, 8, -214748364, false), + others => (0, ALU_ADD, 0, 0, 0, false) ); variable checkall : boolean := true; begin @@ -161,7 +184,7 @@ begin "/= " & integer'image(to_integer(signed(op3))) & " -- erwartet: " & cinteger'image(testmatrix(i).expected); - if op3 /= std_logic_vector(to_signed(testmatrix(i).expected,CBITS)) then + if not((op3 = std_logic_vector(to_signed(testmatrix(i).expected,CBITS))) and (opM = std_logic_vector(to_signed(testmatrix(i).om,CBITS)))) then checkall := false; end if; end if; diff --git a/src/post_parser_tb.do b/src/post_parser_tb.do new file mode 100644 index 0000000..c90d9c9 --- /dev/null +++ b/src/post_parser_tb.do @@ -0,0 +1,24 @@ +#alias fuer simulation neustarten +alias rr "restart -f" + +#signale hinzufuegen +add wave sys_clk +add wave sys_res_n +add wave p_rget +add wave p_rdone +add wave p_read +add wave p_wtake +add wave p_wdone +add wave p_write +add wave p_finished +add wave do_it +add wave finished + +#rauszoomen +wave zoomout 500.0 + +#simulation starten und 100ms lang laufen lassen (wird durch assert abgebrochen) +run -all + +#ganz nach links scrollen +wave seetime 0 diff --git a/src/post_parser_tb.vhd b/src/post_parser_tb.vhd new file mode 100644 index 0000000..8ba3dd5 --- /dev/null +++ b/src/post_parser_tb.vhd @@ -0,0 +1,191 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.gen_pkg.all; + +entity post_parser_tb is +end entity post_parser_tb; + +architecture sim of post_parser_tb is + component parser is + port ( + sys_clk : in std_logic; + sys_res_n : in std_logic; + -- History + p_rget : out std_logic; + p_rdone : in std_logic; + p_read : in hbyte; + p_wtake : out std_logic; + p_wdone : in std_logic; + p_write : out hbyte; + p_finished : out std_logic; + -- Scanner + do_it : in std_logic; + finished : out std_logic + ); + end component parser; + -- system + signal sys_clk, sys_res_n : std_logic; + -- history + signal p_rget, p_rdone, p_wtake, p_wdone, p_finished : std_logic; + signal p_read, p_write : hbyte; + --scanner + signal do_it : std_logic; + signal finished : std_logic; + + signal stop : boolean := false; +begin + inst : parser + port map + ( + sys_clk => sys_clk, + sys_res_n => sys_res_n, + -- history + p_rget => p_rget, + p_rdone => p_rdone, + p_read => p_read, + p_wtake => p_wtake, + p_wdone => p_wdone, + p_write => p_write, + p_finished => p_finished, + -- Scanner + do_it => do_it, + finished => finished + ); + + process + begin + sys_clk <= '0'; + wait for 15 ns; + sys_clk <= '1'; + wait for 15 ns; + if stop = true then + wait; + end if; + end process; + + process + -- textio stuff + use std.textio.all; + file f : text open read_mode is "../../src/parser.test"; + variable l : line; + + variable input : hstring; + variable expectedresult : hstring; + variable realresult : hstring; + variable hstrtmp : hstring; + + variable checkall : boolean := true; + variable run_tc : boolean := true; + variable i, j, k, y : natural; + begin + -- init & reset + sys_res_n <= '0'; + p_rdone <= '0'; + p_wdone <= '0'; + p_read <= (others => '0'); + do_it <= '0'; + + icwait(sys_clk, 5); + sys_res_n <= '1'; + + i := 1; + f_loop : while not endfile(f) loop + realresult := (72 => nul, others => ' '); + + f1_loop : while not endfile(f) loop + readline (f, l); + input := (others => nul); + if (l'length <= 72) then + input(1 to l'length) := l.all; + if (input(1) = '#') then + next f1_loop; + else + exit f1_loop; + end if; + else + report "fehler in parser.test: eingabe zu lange in testfall " & natural'image(i); + next f_loop; + end if; + end loop f1_loop; + + f2_loop : while not endfile(f) loop + readline (f, l); + expectedresult := (others => nul); + if (l'length <= 72) then + expectedresult(1 to l'length) := l.all; + if (expectedresult(1) = '#') then + next f2_loop; + else + y := l'length; + exit f2_loop; + end if; + else + report "fehler in parser.test: eingabe zu lange in testfall " & natural'image(i); + next f_loop; + end if; + end loop f2_loop; + + -- ergebnis string richtig formatieren + hstrtmp := expectedresult; + expectedresult := (72 => nul, others => ' '); + for x in 1 to 71 loop + if hstrtmp(x) /= nul then + expectedresult((71-y) + x) := hstrtmp(x); + end if; + end loop; + + + report "testcase(" & natural'image(i) & ").input: " & input; + report "testcase(" & natural'image(i) & ").expectedresult: " & expectedresult; + i := i + 1; + + icwait(sys_clk, 5); + do_it <= '1'; + run_tc := true; + j := 1; k := 71; + + while run_tc loop + wait on p_rget, p_wtake, p_finished, finished; + icwait(sys_clk, 2); + + if p_rget = '1' then + p_read <= hbyte( to_unsigned(character'pos(input(j)),8) ); + p_rdone <= '1'; + j := j + 1; + end if; + if p_rget = '0' then + p_rdone <= '0'; + end if; + + if p_wtake = '1' then + realresult(k) := character'val(to_integer(unsigned(p_write))); + p_wdone <= '1'; + k := k - 1; + end if; + if p_wtake = '0' then + p_wdone <= '0'; + end if; + + if p_finished = '1' or finished = '1' then + run_tc := false; + end if; + end loop; + + do_it <= '0'; + report "realresult : " & realresult; + if realresult /= expectedresult then + checkall := false; + end if; + report "=================="; + end loop f_loop; + + if checkall then + report "alle testfaelle des Parser waren erfolgreich!"; + else + report "nicht alle testfaelle des Parsers waren erfolgreich!"; + end if; + stop <= true; + wait; + end process; +end architecture sim; -- 2.25.1