From 1d338dc8f55254ca0b9dbee072532ce862ad794a Mon Sep 17 00:00:00 2001 From: Alexander Oh Date: Wed, 26 May 2010 00:53:01 +0200 Subject: [PATCH] fixed sim that always assigned the same char --- src/beh_pc_communication_tb.vhd | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/beh_pc_communication_tb.vhd b/src/beh_pc_communication_tb.vhd index 9b9a489..7e2d691 100644 --- a/src/beh_pc_communication_tb.vhd +++ b/src/beh_pc_communication_tb.vhd @@ -79,6 +79,7 @@ begin while not endfile (f) loop readline(f, l); + buf := l.all; i := 1; while i < l'length loop d_done <= '0'; @@ -87,6 +88,7 @@ begin wait for 300 ns; d_char <= hbyte(std_logic_vector(to_unsigned(character'pos(buf(i)),8))); + i := i + 1; d_done <= '1'; wait for 30 ns; -- 2.25.1