From 0d0bc4367615d50a1dabf9e00dca8422a96f8d95 Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Sat, 22 May 2010 02:47:04 +0200 Subject: [PATCH] makefile: coverage aktivieren wenn @ tilab --- src/Makefile | 13 +++++++++++-- src/scanner.test | 3 +++ 2 files changed, 14 insertions(+), 2 deletions(-) diff --git a/src/Makefile b/src/Makefile index a09058a..f8694b9 100644 --- a/src/Makefile +++ b/src/Makefile @@ -10,6 +10,15 @@ POST_SDO_INST := inst QUARTUS := $(MPWD)/../quartus/calc QUOPT := --read_settings_files=on --write_settings_files=off calc -c calc +ifeq ($(findstring $(HN), $(TILABHOSTS)),$(HN)) +# die option 's' geht nicht... :( +COVERVCOM := -cover bcet +COVERVSIM := -coverage +else +COVERVCOM := +COVERVSIM := +endif + HN := $(shell hostname) TILABHOSTS := $(shell echo ti{1..9}) apps1 @@ -69,11 +78,11 @@ $(D_BEHSIM)/modelsim.ini: $(D_BEHSIM)/$(WORK)/%/_primary.dat: %.vhd $(D_BEHSIM)/modelsim.ini @echo " CC $<" cd $(D_BEHSIM); \ - vcom -cover bcest -work $(WORK) $(MPWD)/$< + vcom $(COVERVCOM) -work $(WORK) $(MPWD)/$< beh_%: $(D_BEHSIM)/$(WORK)/beh_%_tb/_primary.dat beh_%_tb.do behsim cd $(D_BEHSIM); \ - vsim -coverage "work.$@_tb(sim)" -f /dev/null -do $(MPWD)/$@_tb.do + vsim $(COVERVSIM) "work.$@_tb(sim)" -f /dev/null -do $(MPWD)/$@_tb.do #postsim postsim: $(POST_VHO) $(POST_SDO) diff --git a/src/scanner.test b/src/scanner.test index 71b6af5..0719267 100644 --- a/src/scanner.test +++ b/src/scanner.test @@ -25,3 +25,6 @@ asdf213 # t8: 2+-*/!! !! !!!!!1 1 +# t9: +12300456789!!879876 +123004567879876 -- 2.25.1