makefile: coverage aktivieren wenn @ tilab
authorBernhard Urban <lewurm@gmail.com>
Sat, 22 May 2010 00:47:04 +0000 (02:47 +0200)
committerBernhard Urban <lewurm@gmail.com>
Sat, 22 May 2010 00:47:04 +0000 (02:47 +0200)
src/Makefile
src/scanner.test

index a09058aa05fbec67e574d6605d4534fe441cac06..f8694b97bb678c31ad57d9ecfdb42d7f4f5d11b7 100644 (file)
@@ -10,6 +10,15 @@ POST_SDO_INST := inst
 QUARTUS := $(MPWD)/../quartus/calc
 QUOPT := --read_settings_files=on --write_settings_files=off calc -c calc
 
+ifeq ($(findstring $(HN), $(TILABHOSTS)),$(HN))
+# die option 's' geht nicht... :(
+COVERVCOM := -cover bcet
+COVERVSIM := -coverage
+else
+COVERVCOM :=
+COVERVSIM :=
+endif
+
 HN := $(shell hostname)
 TILABHOSTS := $(shell echo ti{1..9}) apps1
 
@@ -69,11 +78,11 @@ $(D_BEHSIM)/modelsim.ini:
 $(D_BEHSIM)/$(WORK)/%/_primary.dat: %.vhd $(D_BEHSIM)/modelsim.ini
        @echo " CC    $<"
        cd $(D_BEHSIM); \
-       vcom -cover bcest -work $(WORK) $(MPWD)/$<
+       vcom $(COVERVCOM) -work $(WORK) $(MPWD)/$<
 
 beh_%: $(D_BEHSIM)/$(WORK)/beh_%_tb/_primary.dat beh_%_tb.do behsim
        cd $(D_BEHSIM); \
-       vsim -coverage "work.$@_tb(sim)" -f /dev/null -do $(MPWD)/$@_tb.do
+       vsim $(COVERVSIM) "work.$@_tb(sim)" -f /dev/null -do $(MPWD)/$@_tb.do
 
 #postsim
 postsim: $(POST_VHO) $(POST_SDO)
index 71b6af5d2caa7a02961a93c953c8b1ceb53939e5..07192670958811c3f4bdd3a972c8588614f4d949 100644 (file)
@@ -25,3 +25,6 @@ asdf213
 # t8:
 2+-*/!! !! !!!!!1
 1
+# t9:
+12300456789!!879876
+123004567879876