X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fsp_ram.vhd;h=66b23d289507da736ed0975d84071e791a4e8d18;hp=de0f66892b3981fe84b63504dfa80c485bee5558;hb=HEAD;hpb=aca9c1f209b80c70a5768b3896f23acd16e22490 diff --git a/src/sp_ram.vhd b/src/sp_ram.vhd index de0f668..66b23d2 100644 --- a/src/sp_ram.vhd +++ b/src/sp_ram.vhd @@ -19,9 +19,8 @@ end entity sp_ram; architecture beh of sp_ram is subtype RAM_ENTRY_TYPE is hbyte; - type RAM_TYPE is array (1 to (2 ** ADDR_WIDTH)) of RAM_ENTRY_TYPE; - signal ram : RAM_TYPE := (1 => x"41", 2 => x"42", 3 => x"43", 4 => x"44", - 5 => x"45", 6 => x"46", 7 => x"47", 8 => x"48", 9 => x"49", 10 => x"50", others => x"00"); + type RAM_TYPE is array (0 to (2 ** ADDR_WIDTH)-1) of RAM_ENTRY_TYPE; + signal ram : RAM_TYPE := (others => x"00"); begin process(sys_clk) begin