X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fsp_ram.vhd;h=66b23d289507da736ed0975d84071e791a4e8d18;hp=5c9b66b2e15df2417e8c5e4e5dd1233a024968e8;hb=HEAD;hpb=9f86188ebcedaf3cc7a7106b0a8d6a96493d41bc diff --git a/src/sp_ram.vhd b/src/sp_ram.vhd index 5c9b66b..66b23d2 100644 --- a/src/sp_ram.vhd +++ b/src/sp_ram.vhd @@ -19,8 +19,8 @@ end entity sp_ram; architecture beh of sp_ram is subtype RAM_ENTRY_TYPE is hbyte; - type RAM_TYPE is array (1 to (2 ** ADDR_WIDTH)) of RAM_ENTRY_TYPE; - signal ram : RAM_TYPE := (others => x"41"); + type RAM_TYPE is array (0 to (2 ** ADDR_WIDTH)-1) of RAM_ENTRY_TYPE; + signal ram : RAM_TYPE := (others => x"00"); begin process(sys_clk) begin