X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fsp_ram.vhd;h=66b23d289507da736ed0975d84071e791a4e8d18;hp=4d39020db802fbca901b720e72ba6143a3bb38f2;hb=HEAD;hpb=1174010c4fd11ff9111732a2a7d31be18a02bf46 diff --git a/src/sp_ram.vhd b/src/sp_ram.vhd index 4d39020..66b23d2 100644 --- a/src/sp_ram.vhd +++ b/src/sp_ram.vhd @@ -19,7 +19,7 @@ end entity sp_ram; architecture beh of sp_ram is subtype RAM_ENTRY_TYPE is hbyte; - type RAM_TYPE is array (1 to (2 ** ADDR_WIDTH)) of RAM_ENTRY_TYPE; + type RAM_TYPE is array (0 to (2 ** ADDR_WIDTH)-1) of RAM_ENTRY_TYPE; signal ram : RAM_TYPE := (others => x"00"); begin process(sys_clk)