X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fpc_communication.vhd;fp=src%2Fpc_communication.vhd;h=e2e38222892fee51653aff09db2c820d6bca88c6;hp=dcdb06018fee35ab21380ff1c7d35a6342215e80;hb=078ed107843eeef4cdbe033ca8ccaca8311895b0;hpb=c4835e02b7f9b0d71547aec609667b7b5aed75ce diff --git a/src/pc_communication.vhd b/src/pc_communication.vhd index dcdb060..e2e3822 100644 --- a/src/pc_communication.vhd +++ b/src/pc_communication.vhd @@ -91,7 +91,7 @@ begin when FORWARD => new_i_next <= '1'; when WAIT_UART => - null; + new_i_next <= '1'; when UART_DONE => if tx_data_i = x"00" or spalte = hspalte_max then tx_data_i_next <= x"0a"; @@ -112,7 +112,8 @@ begin state_next <= state; case state is when IDLE => - if (rx_new = '1' and rx_data = x"0a" ) or btn_a = '0' then +-- if (rx_new = '1' and rx_data = x"0a") or btn_a = '0' then + if (rx_new = '1') or btn_a = '0' then state_next <= FETCH; end if; when FETCH =>