X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fparser.vhd;fp=src%2Fparser.vhd;h=5736bf5be96661a7ffa25b678a1f83c121bf86ea;hp=39b9a96adac28ca3d9fde605046113962e677361;hb=b42b2b9d669e1d12db43c70704b4657901d1ab02;hpb=d070f8eadaa8f62b9c5c0709f9e447eb24d590d9 diff --git a/src/parser.vhd b/src/parser.vhd index 39b9a96..5736bf5 100644 --- a/src/parser.vhd +++ b/src/parser.vhd @@ -96,7 +96,7 @@ begin aktop_int <= ALU_NOP; opp_int <= ALU_NOP; err_int <= 0; - errc_int <= 71; + errc_int <= HSPALTE_MAX; errc_tmp_int <= 0; firstz_int <= true; -- out ports @@ -508,7 +508,7 @@ begin when SDONE => err_next <= 0; - errc_next <= 71; + errc_next <= HSPALTE_MAX; p_finished_next <= '1'; finished_next <= '1';