X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fparser.vhd;fp=src%2Fparser.vhd;h=0867dea7b947005c9d683be0a739acf37ece840d;hp=95952d1dd45ad553c43f4bc68a5202368b2efe25;hb=51361c5dc1ab06332a04260f5192adcb398238e6;hpb=a1b9896ecd59e16ef6c28bc6990eadd6e0c515c8 diff --git a/src/parser.vhd b/src/parser.vhd index 95952d1..0867dea 100644 --- a/src/parser.vhd +++ b/src/parser.vhd @@ -57,9 +57,8 @@ architecture beh of parser is signal calc_done : std_logic; signal calc_error : std_logic; begin - instalu : entity work.alu(beh) - port map - ( + instalu : alu + port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, do_calc => do_calc,