X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fhistory.vhd;h=ae5d2e9a0fb20d5919219ec8c98faa23840e2d2a;hp=1c69612edb72fd9c0a72091890433600cbae353e;hb=1174010c4fd11ff9111732a2a7d31be18a02bf46;hpb=86b02a285607721d62181122b289ebb6498e6627 diff --git a/src/history.vhd b/src/history.vhd index 1c69612..ae5d2e9 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -153,10 +153,11 @@ begin data_in_next <= data_in_int; case state_int is - when SIDLE => - null; when S_S_INIT => null; + when SIDLE => + -- TODO: tmp fix + d_new_result_next <= '0'; when S_S_WRITE => wr_next <= '1'; address_next <= s_cnt_int;