X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fhistory.vhd;h=424d0c92b47e94731efc0d508d54e4ee304192a9;hp=1cac445f7fccfe7054ac2de54c4b37654b64fcdc;hb=e501f4f14743af0b0a1f15fb3ec4c03fa5d59b06;hpb=e2ebb6e7f217ae2a8ebd547700eb56a18057d2b6 diff --git a/src/history.vhd b/src/history.vhd index 1cac445..424d0c9 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -74,6 +74,8 @@ begin p_rdone <= p_rdone_int; p_wdone <= p_wdone_int; p_read <= p_read_int; + pc_done <= pc_done_int; + pc_char <= pc_char_int; process(sys_clk, sys_res_n) begin @@ -237,8 +239,8 @@ begin wr_next <= '0'; address_next <= address_int; data_in_next <= data_in_int; - pc_done <= '0'; - pc_char <= (others => '0'); + pc_done_next <= '0'; + pc_char_next <= pc_char_int; --(others => '0'); p_rdone_next <= p_rdone_int; p_wdone_next <= p_wdone_int; p_read_next <= p_read_int;