X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fhistory.vhd;h=08b035904f5fae303825cae44c3214d7ed2fa9c4;hp=ae5d2e9a0fb20d5919219ec8c98faa23840e2d2a;hb=a2cb783f585122d43ebdab14a4d5d7e6d462366f;hpb=82c132f21179276ef155a90b6e266942e20b19a3 diff --git a/src/history.vhd b/src/history.vhd index ae5d2e9..08b0359 100644 --- a/src/history.vhd +++ b/src/history.vhd @@ -33,7 +33,7 @@ end entity history; architecture beh of history is type HISTORY_STATE is (SIDLE, S_S_INIT, S_S_WRITE, S_S_BS, S_S_DONE, S_S_FIN, - S_D_INIT, S_D_WAIT, S_D_WRITE); + S_D_INIT, S_D_WRITE); signal state_int, state_next : HISTORY_STATE; signal s_done_int, s_done_next : std_logic; signal s_cnt_int, s_cnt_next : hspalte; @@ -127,8 +127,6 @@ begin end if; when S_D_INIT => - state_next <= S_D_WAIT; - when S_D_WAIT => state_next <= S_D_WRITE; when S_D_WRITE => if d_get = '0' then @@ -182,8 +180,6 @@ begin address_next <= d_spalte; d_new_eingabe_next <= '0'; d_new_result_next <= '0'; - when S_D_WAIT => - null; when S_D_WRITE => d_char_next <= data_out; d_done_next <= '1';