X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fdisplay.vhd;h=0f5afef2e6d8e7d32b9166dea604e077d1229ad2;hp=fb6649f9d71e3fed56f01e428c4cf4cb938e679a;hb=HEAD;hpb=8df5c1463f67b799e33b6704bab7419cb90bf9b7 diff --git a/src/display.vhd b/src/display.vhd index fb6649f..0f5afef 100644 --- a/src/display.vhd +++ b/src/display.vhd @@ -27,18 +27,24 @@ entity display is end entity display; architecture beh of display is - type DISPLAY_STATE is (SIDLE, S_NEW_BS, S_BACK, S_BLANK, S_NEW_RESULT, + type DISPLAY_STATE is (S_INIT, SIDLE, S_NEW_BS, S_BACK, S_BLANK, S_NEW_RESULT, S_ZEILEUP, S_NEW_INPUT, S_COUNTUP, S_GETCH, S_CR1, S_NL1, S_PUTCH1, S_PUTCH2, S_WAIT, S_NOP1, S_READ_RESULT_0, S_READ_RESULT_1, - S_READ_RESULT_2, S_INIT, S_PS1_0, S_PS1_1, S_PS1_2, S_PS1_3, S_PS1_4, + S_READ_RESULT_2, S_PS1_0, S_PS1_1, S_PS1_2, S_PS1_3, S_PS1_4, S_PS1_5); + type DISPLAY_ISTATE is (IS_BACK_2_BLANK, IS_BLANK_2_BACK, + IS_NL1_2_ZEILEUP, IS_RESULT2_2_CR1, IS_CR1_2_NL1, IS_NL1_2_RESULT0, + IS_RESULT0_2_RESULT1, IS_RESULT1_2_RESULT2, IS_ZEILEUP_2_PS10, + IS_PS10_2_PS11, IS_PS11_2_PS12, IS_PS12_2_PS13, IS_PS13_2_PS14, + IS_PS14_2_PS15, IS_RANDOM_2_IDLE, IS_OTHER_2_NL1); + signal state_int, state_next : DISPLAY_STATE; + signal istate_next, istate_int : DISPLAY_ISTATE; signal d_zeile_int, d_zeile_next : hzeile; signal d_spalte_int, d_spalte_next : hspalte; signal d_get_int, d_get_next : std_logic; signal command_int, command_next : std_logic_vector(7 downto 0); signal command_data_int, command_data_next : std_logic_vector(31 downto 0); - signal istate_next, istate_int : signed(4 downto 0); begin d_zeile <= d_zeile_int; d_spalte <= d_spalte_int; @@ -51,7 +57,7 @@ begin if sys_res_n = '0' then -- internal state_int <= S_INIT; - istate_int <= (others => '0'); + istate_int <= IS_RANDOM_2_IDLE; -- out d_zeile_int <= (others => '0'); d_spalte_int <= (others => '0'); @@ -83,26 +89,26 @@ begin state_next <= S_PS1_0; when S_PS1_0 => - istate_next <= b"01001"; + istate_next <= IS_PS10_2_PS11; state_next <= S_WAIT; when S_PS1_1 => - istate_next <= b"01010"; + istate_next <= IS_PS11_2_PS12; state_next <= S_WAIT; when S_PS1_2 => - istate_next <= b"01011"; + istate_next <= IS_PS12_2_PS13; state_next <= S_WAIT; when S_PS1_3 => - istate_next <= b"01100"; + istate_next <= IS_PS13_2_PS14; state_next <= S_WAIT; when S_PS1_4 => - istate_next <= b"01101"; + istate_next <= IS_PS14_2_PS15; state_next <= S_WAIT; when S_PS1_5 => - istate_next <= b"00111"; + istate_next <= IS_RANDOM_2_IDLE; state_next <= S_WAIT; when SIDLE => - istate_next <= b"00111"; -- default: immer wieder ins SIDLE; + istate_next <= IS_RANDOM_2_IDLE; if d_new_bs = '1' then state_next <= S_NEW_BS; elsif d_new_eingabe = '1' then @@ -123,21 +129,21 @@ begin if free = '0' then state_next <= S_WAIT; case istate_int is - when b"00111" => istate_next <= b"00001"; -- => danach S_BLANK und wieder hierher - when others => istate_next <= b"00111"; -- => danach SIDLE + when IS_RANDOM_2_IDLE => istate_next <= IS_BACK_2_BLANK; + when others => istate_next <= IS_RANDOM_2_IDLE; end case; end if; when S_BLANK => if free = '0' then state_next <= S_WAIT; - istate_next <= b"00010"; -- => danach S_BACK + istate_next <= IS_BLANK_2_BACK; end if; when S_ZEILEUP => case istate_int is - when b"00011" => + when IS_NL1_2_ZEILEUP => state_next <= S_WAIT; - istate_next <= b"01000"; -- => danach S_PS1 + istate_next <= IS_ZEILEUP_2_PS10; when others => state_next <= S_CR1; end case; @@ -145,32 +151,32 @@ begin if free = '0' then state_next <= S_WAIT; case istate_int is - when b"00110" => istate_next <= b"00101"; -- => danach S_NL1, S_ZEILEUP, S_PS1, SIDLE - when others => istate_next <= b"00000"; -- => danach S_NL1 und S_COUNTUP + when IS_RESULT2_2_CR1 => istate_next <= IS_OTHER_2_NL1; + when others => istate_next <= IS_CR1_2_NL1; end case; end if; when S_NL1 => if free = '0' then state_next <= S_WAIT; case istate_int is - when b"00101" => istate_next <= b"00011"; -- => danach S_ZEILEUP, PS1 - when others => istate_next <= b"10000"; -- => danach S_READ_RESULT_0 + when IS_OTHER_2_NL1 => istate_next <= IS_NL1_2_ZEILEUP; + when others => istate_next <= IS_NL1_2_RESULT0; end case; end if; when S_READ_RESULT_0 => - istate_next <= b"10001"; + istate_next <= IS_RESULT0_2_RESULT1; state_next <= S_WAIT; when S_READ_RESULT_1 => - istate_next <= b"10010"; + istate_next <= IS_RESULT1_2_RESULT2; state_next <= S_WAIT; when S_READ_RESULT_2 => - if unsigned(d_spalte_int) /= 70 then + if unsigned(d_spalte_int) /= HSPALTE_MAX-1 then state_next <= S_COUNTUP; - istate_next <= b"10010"; -- => wieder nach S_READ_RESULT_2 + istate_next <= IS_RESULT1_2_RESULT2; else state_next <= S_WAIT; - istate_next <= b"00110"; -- => danach S_CR1 und d_spalte_next clearen und d_zeile_next inkrementieren + istate_next <= IS_RESULT2_2_CR1; end if; when S_COUNTUP => @@ -192,24 +198,24 @@ begin when S_NOP1 => if free = '1' then case istate_int is - when b"00000" => state_next <= S_NL1; - when b"00001" => state_next <= S_BLANK; - when b"00010" => state_next <= S_BACK; - when b"00011" => state_next <= S_ZEILEUP; - when b"00110" => state_next <= S_CR1; - when b"00101" => state_next <= S_NL1; + when IS_CR1_2_NL1 => state_next <= S_NL1; + when IS_BACK_2_BLANK => state_next <= S_BLANK; + when IS_BLANK_2_BACK => state_next <= S_BACK; + when IS_NL1_2_ZEILEUP => state_next <= S_ZEILEUP; + when IS_RESULT2_2_CR1 => state_next <= S_CR1; + when IS_OTHER_2_NL1 => state_next <= S_NL1; - when b"10000" => state_next <= S_READ_RESULT_0; - when b"10001" => state_next <= S_READ_RESULT_1; - when b"10010" => state_next <= S_READ_RESULT_2; + when IS_NL1_2_RESULT0 => state_next <= S_READ_RESULT_0; + when IS_RESULT0_2_RESULT1 => state_next <= S_READ_RESULT_1; + when IS_RESULT1_2_RESULT2 => state_next <= S_READ_RESULT_2; - when b"01000" => state_next <= S_PS1_0; - when b"01001" => state_next <= S_PS1_1; - when b"01010" => state_next <= S_PS1_2; - when b"01011" => state_next <= S_PS1_3; - when b"01100" => state_next <= S_PS1_4; - when b"01101" => state_next <= S_PS1_5; - when others => state_next <= SIDLE; + when IS_ZEILEUP_2_PS10 => state_next <= S_PS1_0; + when IS_PS10_2_PS11 => state_next <= S_PS1_1; + when IS_PS11_2_PS12 => state_next <= S_PS1_2; + when IS_PS12_2_PS13 => state_next <= S_PS1_3; + when IS_PS13_2_PS14 => state_next <= S_PS1_4; + when IS_PS14_2_PS15 => state_next <= S_PS1_5; + when IS_RANDOM_2_IDLE => state_next <= SIDLE; end case; end if; end case; @@ -218,27 +224,6 @@ begin -- out process(state_int, d_zeile_int, d_spalte_int, d_get_int, command_int, command_data_int, d_char) - function zeile2char(i : std_logic_vector; stelle : natural) return hbyte is - subtype zeilnum is string(1 to 2); - type zeilnum_arr is array (natural range 0 to 49) of zeilnum; - constant zn : zeilnum_arr := ( - 0 => "00", 1 => "01", 2 => "02", 3 => "03", 4 => "04", - 5 => "05", 6 => "06", 7 => "07", 8 => "08", 9 => "09", - 10 => "10", 11 => "11", 12 => "12", 13 => "13", 14 => "14", - 15 => "15", 16 => "16", 17 => "17", 18 => "18", 19 => "19", - 20 => "20", 21 => "21", 22 => "22", 23 => "23", 24 => "24", - 25 => "25", 26 => "26", 27 => "27", 28 => "28", 29 => "29", - 30 => "30", 31 => "31", 32 => "32", 33 => "33", 34 => "34", - 35 => "35", 36 => "36", 37 => "37", 38 => "38", 39 => "39", - 40 => "40", 41 => "41", 42 => "42", 43 => "43", 44 => "44", - 45 => "45", 46 => "46", 47 => "47", 48 => "48", 49 => "49", - others => "xy"); - variable t : signed(hzeile'length downto 0); - begin - t := signed('0' & i); - t := t / 2; - return hbyte(to_unsigned(character'pos(zn(to_integer(t))(stelle)),8)); - end; begin d_zeile_next <= d_zeile_int; d_spalte_next <= d_spalte_int; @@ -286,8 +271,8 @@ begin when S_ZEILEUP => d_spalte_next <= (others => '0'); case d_zeile_int is - -- 49 * 2 + 1 - when "1100010" => d_zeile_next <= (others => '0'); + when + std_logic_vector(to_unsigned(HZEILE_MAX-1,d_zeile_int'length)) => d_zeile_next <= (others => '0'); when others => d_zeile_next <= std_logic_vector(unsigned(d_zeile_int) + 1); end case;