X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fcalc.vhd;h=21ae1cd12fc19ccfeb02a1537ae594e11aef298a;hp=5cfefaad061be980b687ede8aa998497a3606a9a;hb=030287b141ae40fd8c7e9e8060ee7a96ba109499;hpb=4daed56adb94596e6e991086a40f52e4a0b34efe diff --git a/src/calc.vhd b/src/calc.vhd index 5cfefaa..21ae1cd 100644 --- a/src/calc.vhd +++ b/src/calc.vhd @@ -62,6 +62,7 @@ architecture top of calc is signal pc_zeile : hzeile; signal pc_char : hbyte; signal pc_done : std_logic; + signal pc_busy : std_logic; -- parser/scanner signal do_it, finished : std_logic; -- debouncing @@ -156,6 +157,7 @@ begin pc_spalte => pc_spalte, pc_zeile => pc_zeile, pc_char => pc_char, + pc_busy => pc_busy, pc_done => pc_done ); @@ -301,6 +303,7 @@ begin pc_zeile => pc_zeile, pc_spalte => pc_spalte, pc_get => pc_get, + pc_busy => pc_busy, pc_done => pc_done, pc_char => pc_char );