X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_uart_rx_tb.vhd;fp=src%2Fbeh_uart_rx_tb.vhd;h=e6d2ad55c4d7986ed43ff54a6ea2bd9f4833c86b;hp=f5e3c6936b654edb597ee135df99669ab119517a;hb=51361c5dc1ab06332a04260f5192adcb398238e6;hpb=a1b9896ecd59e16ef6c28bc6990eadd6e0c515c8 diff --git a/src/beh_uart_rx_tb.vhd b/src/beh_uart_rx_tb.vhd index f5e3c69..e6d2ad5 100644 --- a/src/beh_uart_rx_tb.vhd +++ b/src/beh_uart_rx_tb.vhd @@ -15,7 +15,7 @@ architecture sim of beh_uart_rx_tb is signal rx_data : std_logic_vector (7 downto 0); signal stop : boolean := false; begin - inst : entity work.uart_rx(beh) + inst : uart_rx generic map ( CLK_FREQ => CLK_FREQ, BAUDRATE => BAUDRATE