X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_pc_communication_tb.vhd;h=d2a693e017c6c688626255cfd54c6d244cfb026f;hp=9d12996ecce539546c6c302ddca3142cb56e8d5c;hb=b6503f8ccf6fb6d0e88e514a306e32d7a7c1a5d7;hpb=030287b141ae40fd8c7e9e8060ee7a96ba109499 diff --git a/src/beh_pc_communication_tb.vhd b/src/beh_pc_communication_tb.vhd index 9d12996..d2a693e 100644 --- a/src/beh_pc_communication_tb.vhd +++ b/src/beh_pc_communication_tb.vhd @@ -85,11 +85,10 @@ begin while i < l'length loop pc_done <= '0'; wait until rising_edge(pc_get); - wait for 90 ns; - pc_busy <= '1'; + wait for 150 ns; pc_char <= (others => '0'); - wait for 300 ns; - + pc_busy <= '1'; + wait for 30 ns; pc_char <= hbyte(std_logic_vector(to_unsigned(character'pos(buf(i)),8))); i := i + 1; pc_busy <= '0';