X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_pc_communication_tb.vhd;h=7e2d691dee79b73eb52bbf7c90909d0222240adf;hp=9b9a489e4104d05870f44b9184cb9c91a88ee523;hb=1d338dc8f55254ca0b9dbee072532ce862ad794a;hpb=ed21cbbd893717c29b0820a80fb55d697baf7730 diff --git a/src/beh_pc_communication_tb.vhd b/src/beh_pc_communication_tb.vhd index 9b9a489..7e2d691 100644 --- a/src/beh_pc_communication_tb.vhd +++ b/src/beh_pc_communication_tb.vhd @@ -79,6 +79,7 @@ begin while not endfile (f) loop readline(f, l); + buf := l.all; i := 1; while i < l'length loop d_done <= '0'; @@ -87,6 +88,7 @@ begin wait for 300 ns; d_char <= hbyte(std_logic_vector(to_unsigned(character'pos(buf(i)),8))); + i := i + 1; d_done <= '1'; wait for 30 ns;