X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_parser_tb.vhd;h=6b3f9e6d414d6b82d82cbca224592a138156bf7e;hp=b0626cf8cfc763035ef26366ab3e6545aec3411f;hb=HEAD;hpb=b5f4c3b9738dc7a08fac9e1f99057f6b44569588 diff --git a/src/beh_parser_tb.vhd b/src/beh_parser_tb.vhd index b0626cf..6b3f9e6 100644 --- a/src/beh_parser_tb.vhd +++ b/src/beh_parser_tb.vhd @@ -18,9 +18,8 @@ architecture sim of beh_parser_tb is signal stop : boolean := false; begin - inst : entity work.parser(beh) - port map - ( + inst : parser + port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, -- history @@ -74,12 +73,12 @@ begin i := 1; f_loop : while not endfile(f) loop - realresult := (72 => nul, others => ' '); + realresult := (HSPALTE_MAX+1 => nul, others => ' '); f1_loop : while not endfile(f) loop readline (f, l); input := (others => nul); - if (l'length <= 72) then + if (l'length <= HSPALTE_MAX+1) then input(1 to l'length) := l.all; if (input(1) = '#') then next f1_loop; @@ -95,7 +94,7 @@ begin f2_loop : while not endfile(f) loop readline (f, l); expectedresult := (others => nul); - if (l'length <= 72) then + if (l'length <= HSPALTE_MAX+1) then expectedresult(1 to l'length) := l.all; if (expectedresult(1) = '#') then next f2_loop; @@ -111,10 +110,10 @@ begin -- ergebnis string richtig formatieren hstrtmp := expectedresult; - expectedresult := (72 => nul, others => ' '); - for x in 1 to 71 loop + expectedresult := (HSPALTE_MAX+1 => nul, others => ' '); + for x in 1 to HSPALTE_MAX loop if hstrtmp(x) /= nul then - expectedresult((71-y) + x) := hstrtmp(x); + expectedresult((HSPALTE_MAX-y) + x) := hstrtmp(x); end if; end loop; @@ -126,7 +125,7 @@ begin icwait(sys_clk, 5); do_it <= '1'; run_tc := true; - j := 1; k := 71; + j := 1; k := HSPALTE_MAX; while run_tc loop wait on p_rget, p_wtake, p_finished, finished;