X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_history_tb.vhd;h=f76e2c4ec1745d128f869455d91799a8dbab4546;hp=4c98d764192f302eb5c63080589f80a417e70ece;hb=b5f4c3b9738dc7a08fac9e1f99057f6b44569588;hpb=9f86188ebcedaf3cc7a7106b0a8d6a96493d41bc diff --git a/src/beh_history_tb.vhd b/src/beh_history_tb.vhd index 4c98d76..f76e2c4 100644 --- a/src/beh_history_tb.vhd +++ b/src/beh_history_tb.vhd @@ -28,8 +28,15 @@ architecture sim of beh_history_tb is signal free : std_logic; signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0); signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0); - - -- tmp: history<>scanner + -- history/parser + signal p_rget : std_logic; + signal p_rdone : std_logic; + signal p_read : hbyte; + signal p_wtake : std_logic; + signal p_wdone : std_logic; + signal p_write : hbyte; + signal p_finished : std_logic; + -- parser/scanner signal do_it, finished : std_logic; signal stop : boolean := false; @@ -53,9 +60,14 @@ begin d_get => d_get, d_done => d_done, d_char => d_char, - -- TODO: tmp only! - do_it => do_it, - finished => finished + -- parser + p_rget => p_rget, + p_rdone => p_rdone, + p_read => p_read, + p_wtake => p_wtake, + p_wdone => p_wdone, + p_write => p_write, + p_finished => p_finished ); -- display @@ -78,6 +90,24 @@ begin free => free ); + -- parser + inst_parser : entity work.parser(beh) + port map ( + sys_clk => sys_clk, + sys_res_n => sys_res_n, + -- history + p_rget => p_rget, + p_rdone => p_rdone, + p_read => p_read, + p_wtake => p_wtake, + p_wdone => p_wdone, + p_write => p_write, + p_finished => p_finished, + -- scanner + do_it => do_it, + finished => finished + ); + -- scanner inst_scan : entity work.scanner(beh) port map ( @@ -176,8 +206,6 @@ begin sys_res_n <= '0'; new_data <= '0'; data <= (others => '0'); - s_done <= '0'; - finished <= '0'; icwait(sys_clk, 20); sys_res_n <= '1';