X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_history_tb.vhd;fp=src%2Fbeh_history_tb.vhd;h=f249361c03d3f9869e405015187fe99d630ecb42;hp=87115c724c2ed37b7ed3faa0634838bea4ee4250;hb=51361c5dc1ab06332a04260f5192adcb398238e6;hpb=a1b9896ecd59e16ef6c28bc6990eadd6e0c515c8 diff --git a/src/beh_history_tb.vhd b/src/beh_history_tb.vhd index 87115c7..f249361 100644 --- a/src/beh_history_tb.vhd +++ b/src/beh_history_tb.vhd @@ -52,7 +52,7 @@ architecture sim of beh_history_tb is signal stop : boolean := false; begin -- history - inst : entity work.history(beh) + inst : history port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, @@ -87,7 +87,7 @@ begin ); -- display - inst_disp : entity work.display(beh) + inst_disp : display port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, @@ -107,7 +107,7 @@ begin ); -- parser - inst_parser : entity work.parser(beh) + inst_parser : parser port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, @@ -125,7 +125,7 @@ begin ); -- scanner - inst_scan : entity work.scanner(beh) + inst_scan : scanner port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, @@ -142,7 +142,7 @@ begin finished => finished ); --uart_tx - inst_uart : entity work.uart_tx(beh) + inst_uart : uart_tx port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, @@ -153,7 +153,7 @@ begin ); --pc_communication - inst_pc_com : entity work.pc_communication(beh) + inst_pc_com : pc_communication port map( sys_clk => sys_clk, sys_res_n => sys_res_n,