X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=src%2Fbeh_display_tb.vhd;h=f1db735c297b08ee4f8d0f859259ba9d7b87b794;hp=5b6e6a15ed61c2514cf64ac074161594453b1439;hb=HEAD;hpb=5f4ec84aff1e4c4d26eb7707a2e9d899ed519bb9 diff --git a/src/beh_display_tb.vhd b/src/beh_display_tb.vhd index 5b6e6a1..f1db735 100644 --- a/src/beh_display_tb.vhd +++ b/src/beh_display_tb.vhd @@ -29,7 +29,7 @@ architecture sim of beh_display_tb is signal stop : boolean := false; begin -- display - inst : entity work.display(beh) + inst : display port map ( sys_clk => sys_clk, sys_res_n => sys_res_n, @@ -59,6 +59,17 @@ begin end if; end process; + process + begin + free <= '0'; + wait for 15 ns; + free <= '1'; + wait for 30 ns; + if stop = true then + wait; + end if; + end process; + process variable input : hstring := "123513 "; variable ctmp : character; @@ -70,9 +81,9 @@ begin sys_res_n <= '0'; d_new_eingabe <= '0'; d_new_result <= '0'; + d_new_bs <= '0'; d_done <= '0'; d_char <= x"00"; - free <= '1'; icwait(sys_clk, 5); sys_res_n <= '1'; @@ -92,17 +103,6 @@ begin icwait(sys_clk, 1); d_done <= '0'; - free <= '0'; - icwait(sys_clk, 1); - free <= '1'; - icwait(sys_clk, 1); - - -- fuer NOP - free <= '0'; - icwait(sys_clk, 1); - free <= '1'; - icwait(sys_clk, 1); - i := i + 1; end loop; @@ -111,21 +111,6 @@ begin icwait(sys_clk, 2); d_new_result <= '0'; - -- fuer NOP - free <= '0'; - icwait(sys_clk, 1); - free <= '1'; - icwait(sys_clk, 2); - - -- fuer NOP - free <= '0'; - icwait(sys_clk, 1); - free <= '1'; - icwait(sys_clk, 1); - icwait(sys_clk, 1); - - - if checkall then report "alle testfaelle des Displays waren erfolgreich!"; else