X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=hwmod.git;a=blobdiff_plain;f=quartus%2Fproject_gen.tcl;h=242d42b499b014d7f3e5a7a3d38f6e5759079e9c;hp=2f5df6d0a6fdf78788e458698897dce3288cb80a;hb=1ceb823bb748c8a820613ad5bf5d558233448d89;hpb=32a5c373799d20e6ee910b133074303df5ebd86a diff --git a/quartus/project_gen.tcl b/quartus/project_gen.tcl index 2f5df6d..242d42b 100644 --- a/quartus/project_gen.tcl +++ b/quartus/project_gen.tcl @@ -91,9 +91,6 @@ if {$make_assignments} { set_global_assignment -name VHDL_FILE ../../src/debouncing/debounce_fsm_beh.vhd set_global_assignment -name VHDL_FILE ../../src/debouncing/debounce_pkg.vhd set_global_assignment -name VHDL_FILE ../../src/debouncing/debounce_struct.vhd - set_global_assignment -name VHDL_FILE ../../src/debouncing/debounce_tb.vhd - set_global_assignment -name VHDL_FILE ../../src/debouncing/debounce_top.vhd - set_global_assignment -name VHDL_FILE ../../src/debouncing/debounce_top_struct.vhd set_global_assignment -name VHDL_FILE ../../src/debouncing/event_counter.vhd set_global_assignment -name VHDL_FILE ../../src/debouncing/event_counter_beh.vhd set_global_assignment -name VHDL_FILE ../../src/debouncing/event_counter_pkg.vhd