parser: erste gehversuche. im moment wird die eingabe einfach zurueckgegeben zur...
[hwmod.git] / src / post_alu_tb.vhd
index a91426aee1f32fbf92e747507155af0e696f17e5..5c05298d69e77450cf2ecdd3cfca34d7daedc2e4 100644 (file)
@@ -7,6 +7,7 @@ entity post_alu_tb is
 end entity post_alu_tb;
 
 architecture sim of post_alu_tb is
+       -- TODO: braucht man hier wirklich eine andere entity definition?
        component alu is
                port
                (