cleanup of warnings and latches and possible gated clocks
[hwmod.git] / src / pc_communication.vhd
index dea269b6d13b4c8cd4f3b3205b86ea4839ef8f97..610ec681f7af8ed53a3e355fa8b32b22125e5d2c 100644 (file)
@@ -30,15 +30,13 @@ entity pc_communication is
 end entity pc_communication;
 
 architecture beh of pc_communication is
-       signal push_history, push_history_next : std_logic;
-
        signal spalte, spalte_next : integer range 1 to hspalte_max + 1;
        signal zeile , zeile_next : integer range 1 to hzeile_max + 1;
        signal spalte_up, spalte_up_next : std_logic;
        signal get, get_next : std_logic;
        signal new_i, new_i_next : std_logic;
        signal tx_done_i, tx_done_i_next : std_logic;
-       signal d_done_i : std_logic;
+       signal tx_data_i, tx_data_i_next : std_logic_vector (7 downto 0);
        signal s_done, s_done_next : std_logic;
 
        signal char, char_next : hbyte;
@@ -54,30 +52,29 @@ begin
        d_get <= get;
        char_next <= d_char;
        tx_new <= new_i;
-       d_done_i <= d_done;
        tx_done_i_next <= tx_done;
+       tx_data <= tx_data_i;
 
        sync: process (sys_clk, sys_res_n)
        begin
                if sys_res_n = '0' then
                        state <= IDLE;
-                       push_history <= '0';
                        spalte <= 1;
                        zeile <= 1;
                        get <= '0';
                        new_i <= '0';
-                       tx_data <= "00000000";
+                       tx_data_i <= "00000000";
                        spalte_up <= '0';
                        tx_done_i <= '0';
                        s_done <= '0';
                elsif rising_edge(sys_clk) then
-                       push_history <= push_history_next;
                        spalte <= spalte_next;
                        zeile <= zeile_next;
                        state <= state_next;
                        get <= get_next;
                        new_i <= new_i_next;
                        tx_done_i <= tx_done_i_next;
+                       tx_data_i <= tx_data_i_next;
                        spalte_up <= spalte_up_next;
                        s_done <= s_done_next;
                        if (char_en = '1') then
@@ -86,22 +83,7 @@ begin
                end if;
        end process sync;
 
-       async_push_history : process (rx_new, rx_data, btn_a)
-       begin
-               if rx_new = '1' then
-                       if rx_data = X"41" then
-                               push_history_next <= '1';
-                       else
-                               push_history_next <= '0';
-                       end if;
-               elsif btn_a = '1' then
-                               push_history_next <= '1';
-               else
-                       push_history_next <= '0';
-               end if;
-       end process async_push_history;
-
-       output_pc : process (state, zeile, spalte, char, tx_done_i, spalte_up, spalte, zeile)
+       output_pc : process (state, zeile, spalte, char, tx_data_i, tx_done_i, spalte_up)
        begin
                get_next <= '0';
                new_i_next <= '0';
@@ -110,6 +92,8 @@ begin
                s_done_next <= '0';
                spalte_next <= spalte;
                zeile_next <= zeile;
+               tx_data_i_next <= tx_data_i;
+               char_en <= '0';
 
                if spalte_up = '1' then
                        if spalte = hspalte_max  then
@@ -134,8 +118,7 @@ begin
                                get_next <= '1';
                                char_en <= '1';
                        when FORWARD =>
-                               char_en <= '0';
-                               tx_data <= char;
+                               tx_data_i_next <= char;
                                new_i_next <= '1';
                                if (tx_done_i = '1') then
                                        spalte_up_next <= '1';
@@ -146,11 +129,12 @@ begin
                end case;
        end process output_pc;
 
-       next_state_pc : process (rx_new, btn_a, d_done, tx_done_i, s_done)
+       next_state_pc : process (state, rx_new, rx_data, btn_a, d_done, tx_done_i, s_done)
        begin
+               state_next <= state;
                case state is
                        when IDLE =>
-                               if rx_new = '1' or btn_a = '1' then
+                               if (rx_new = '1' and rx_data = x"0a" ) or btn_a = '1' then
                                        state_next <= FETCH;
                                end if;
                        when FETCH =>