removed unresolvedness of some signals and multiple sources
[hwmod.git] / src / pc_communication.vhd
index 4c3a8b9af7ee1025a15595dc083bea5a6eb0a74b..4bc09d4c9bd319f745f6a40bd5e9df4071099a93 100644 (file)
@@ -32,9 +32,13 @@ end entity pc_communication;
 architecture beh of pc_communication is
        signal push_history, push_history_next : std_logic;
 
-       signal spalte, spalte_next : hspalte;
-       signal zeile , zeile_next : hzeile;
+       signal spalte, spalte_next : integer range 0 to 71;
+       signal zeile , zeile_next : integer range 0 to 71;
        signal spalte_up, spalte_up_next : std_logic;
+       signal get, get_next : std_logic;
+       signal new_i, new_i_next : std_logic;
+       signal tx_done_i, tx_done_i_next : std_logic;
+       signal d_done_i, d_done_i_next : std_logic;
 
        signal char, char_next : hbyte;
        signal char_en : std_logic;
@@ -43,47 +47,53 @@ architecture beh of pc_communication is
 
 begin
 
+
+       d_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
+       d_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
+       d_get <= get;
+       char_next <= d_char;
+       tx_new <= new_i;
+       d_done_i <= d_done;
+       tx_done_i <= tx_done;
+
        sync: process (sys_clk, sys_res_n)
        begin
                if sys_res_n = '0' then
                        state <= IDLE;
                        push_history <= '0';
-                       spalte <= "0000000";
-                       spalte_next <= "0000000";
-                       zeile <= "0000000";
-                       zeile_next <= "0000000";
-                       d_get <= '0';
-                       tx_new <= '0';
+                       spalte <= 0;
+                       zeile <= 0;
+                       get <= '0';
+                       new_i <= '0';
                        tx_data <= "00000000";
+                       spalte_up <= '0';
                elsif rising_edge(sys_clk) then
                        push_history <= push_history_next;
                        spalte <= spalte_next;
                        zeile <= zeile_next;
                        state <= state_next;
+                       get <= get_next;
+                       new_i <= new_i_next;
+                       spalte_up <= spalte_up_next;
                        if (char_en = '1') then
-                               state <= state_next;
+                               char <= char_next;
                        end if;
                end if;
        end process sync;
 
-       process (spalte_up)
-       variable spalte_tmp, zeile_tmp : integer;
-       variable spalte2_tmp, zeile2_tmp : std_logic_vector(7 downto 0);
+       process (spalte_up, spalte, zeile)
        begin
                if (spalte_up = '1') then
-                       if (spalte > X"45") then
-                               spalte_next <= "0000000";
-                               zeile_tmp := to_integer(unsigned(zeile)) + 1;
-                               zeile2_tmp := std_logic_vector(to_unsigned(zeile_tmp,8));
-                               zeile_next <= hzeile(zeile2_tmp(6 downto 0));
+                       if (spalte > 71) then
+                               spalte_next <= 0;
+                               zeile_next <= zeile + 1;
                        else
-                               spalte_tmp := to_integer(unsigned(spalte)) + 1;
-                               spalte2_tmp := std_logic_vector(to_unsigned(spalte_tmp,8));
-                               spalte_next <= hspalte(spalte2_tmp(6 downto 0));
-
+                               spalte_next <= spalte + 1;
                                zeile_next <= zeile;
                        end if;
-                       spalte_up <= '0';
+               else
+                       spalte_next <= spalte;
+                       zeile_next <= zeile;
                end if;
        end process;
 
@@ -104,37 +114,34 @@ begin
 
        output_pc : process (state, zeile, spalte, char)
        begin
-               d_get <= '0';
-               spalte_next <= "0000000";
-               zeile_next <= "0000000";
-               case state is 
+               get_next <= '0';
+               new_i_next <= '0';
+               spalte_up_next <= '0';
+               case state is
                        when IDLE =>
                                null;
                        when FETCH =>
-                               d_zeile <= zeile_next;
-                               d_spalte <= spalte_next;
-                               d_get <= '1';
+                               get_next <= '1';
                                char_en <= '1';
-                               -- wait for timer overflow
-                               -- increment counter
                        when FORWARD =>
                                char_en <= '0';
                                tx_data <= char;
-                               tx_new <= '1';
+                               new_i_next <= '1';
+                               if (tx_done = '1') then
+                                       spalte_up_next <= '1';
+                               end if;
                        when DONE =>
                                null;
-                               -- be there for a single cycle and then 
                end case;
        end process output_pc;
 
        next_state_pc : process (rx_new, btn_a, d_done, tx_done)
        begin
-               spalte_up <= '0';
                case state is
                        when IDLE =>
                                if rx_new = '1' or btn_a = '1' then
                                        state_next <= FETCH;
-                                       char <= d_char; --latch
+                                       
                                end if;
                        when FETCH =>
                                if (d_done = '1') then
@@ -143,10 +150,8 @@ begin
                        when FORWARD =>
                                if (tx_done = '1') then
                                        state_next <= FETCH;
-                                       spalte_up <= '1';
                                end if;
                        when DONE =>
-                               -- be there for a single cycle and then 
                                state_next <= IDLE;
                end case;
        end process next_state_pc;