d_spalte : in hspalte;
d_get : in std_logic;
d_done : out std_logic;
- d_char : out hbyte
+ d_char : out hbyte;
-- Parser
-- TODO: pins
+
+ -- TODO: tmp only!
+ do_it : in std_logic;
+ finished : out std_logic
);
end entity history;
signal d_new_result_int, d_new_result_next : std_logic;
signal d_done_int, d_done_next : std_logic;
signal d_char_int, d_char_next : hbyte;
+
+ signal finished_int, finished_next : std_logic;
begin
s_done <= s_done_int;
d_new_eingabe <= d_new_eingabe_int;
d_done <= d_done_int;
d_char <= d_char_int;
+ finished <= finished_int;
+
process(sys_clk, sys_res_n)
begin
if sys_res_n = '0' then
d_new_eingabe_int <= '0';
d_done_int <= '0';
d_char_int <= (others => '0');
+
+ finished_int <= '0';
elsif rising_edge(sys_clk) then
-- internal
state_int <= state_next;
d_new_eingabe_int <= d_new_eingabe_next;
d_done_int <= d_done_next;
d_char_int <= d_char_next;
+
+ finished_int <= finished_next;
end if;
end process;