alu: refactor von drei prozessmodell auf zwei prozessmodell
[hwmod.git] / src / gen_pkg.vhd
index 4dfbf6d9a738a2f0ac0d4ba9554087356665acdd..d97b94350ac47ac3d0dc1492805ea44497df84cb 100644 (file)
@@ -27,7 +27,6 @@ package gen_pkg is
        subtype hstring is string(1 to 72);
        subtype hstr_int is integer range 0 to 72;
 
-       function find_msb(a : std_logic_vector) return std_logic_vector;
        procedure icwait(signal clk_i : IN std_logic; cycles: natural);
 
        -- http://www.marjorie.de/ps2/scancode-set2.htm
@@ -67,53 +66,6 @@ package gen_pkg is
 end package gen_pkg;
 
 package body gen_pkg is
-       -- http://www.velocityreviews.com/forums/showpost.php?p=137148&postcount=5
-       function find_msb(a : std_logic_vector) return std_logic_vector is
-               function bits_to_fit(n : positive) return natural is
-                       variable nn, bits : natural := 0;
-               begin
-                       nn := n;
-                       while nn > 0 loop
-                               bits := bits + 1;
-                               nn := nn/2;
-                       end loop;
-                       return bits;
-               end;
-
-               function or_all(p : std_logic_vector) return std_logic is
-                       variable r : std_logic;
-               begin
-                       r := '0';
-                       for i in p'range loop
-                               r := r or p(i);
-                       end loop;
-                       return r;
-               end;
-
-               constant wN : positive := bits_to_fit(a'length - 1);
-               constant wP : positive := 2 ** wN;
-               variable pv : std_logic_vector(wP-1 downto 0);
-               variable n : std_logic_vector(wN downto 1);
-       begin
-               if a'length <= 2 then
-                       n(n'right) := a(a'left);
-               else
-                       pv(a'length-1 downto 0) := a;
-                       if or_all(pv(wP-1 downto wP/2)) = '1' then
-                               n := '1' & find_msb((pv(wP-1 downto wP/2)));
-                       else
-                               n := '0' & find_msb((pv(wP/2-1 downto 0)));
-                       end if;
-               end if;
-               return n;
-       end function find_msb;
-       -- -- alternativ: eleganter, braucht aber mehr logic cells
-       -- for i in (CBITS-1) downto 0 loop
-       --       exit when a(i) = '1';
-       --       r := r+1;
-       -- end loop;
-       -- return (CBITS - r);
-
        procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
        begin
                for i in 1 to cycles loop
@@ -121,4 +73,3 @@ package body gen_pkg is
                end loop;
        end;
 end package body gen_pkg;
-