alu: bessere find_msb, von 1295 auf 1054 logic cells (fuer alu)
[hwmod.git] / src / gen_pkg.vhd
index 9e27eae8a8a5435133247d081f8d788325b2e7e5..c990f1b2f5354aa2c4670a9bcfbf53d310eb41ae 100644 (file)
@@ -14,7 +14,7 @@ package gen_pkg is
 
        constant CBITS : integer := 32;
        subtype csigned is signed((CBITS-1) downto 0);
-       subtype divinteger is integer range -33 to 33;
+       subtype divinteger is unsigned(4 downto 0);
        -- integer ist 32bit (31bit + sign)
        subtype cinteger is integer;
 
@@ -27,7 +27,7 @@ package gen_pkg is
        subtype hstring is string(1 to 72);
        subtype hstr_int is integer range 0 to 72;
 
-       function find_msb(a : csigned) return divinteger;
+       function find_msb(a : std_logic_vector) return std_logic_vector;
        procedure icwait(signal clk_i : IN std_logic; cycles: natural);
 
        -- http://www.marjorie.de/ps2/scancode-set2.htm
@@ -53,15 +53,52 @@ package gen_pkg is
 end package gen_pkg;
 
 package body gen_pkg is
-       function find_msb(a : csigned) return divinteger is
-               variable r : divinteger := 0;
+       -- http://www.velocityreviews.com/forums/showpost.php?p=137148&postcount=5
+       function find_msb(a : std_logic_vector) return std_logic_vector is
+               function bits_to_fit(n : positive) return natural is
+                       variable nn, bits : natural := 0;
+               begin
+                       nn := n;
+                       while nn > 0 loop
+                               bits := bits + 1;
+                               nn := nn/2;
+                       end loop;
+                       return bits;
+               end;
+
+               function or_all(p : std_logic_vector) return std_logic is
+                       variable r : std_logic;
+               begin
+                       r := '0';
+                       for i in p'range loop
+                               r := r or p(i);
+                       end loop;
+                       return r;
+               end;
+
+               constant wN : positive := bits_to_fit(a'length - 1);
+               constant wP : positive := 2 ** wN;
+               variable pv : std_logic_vector(wP-1 downto 0);
+               variable n : std_logic_vector(wN downto 1);
        begin
-               for i in (CBITS-1) downto 0 loop
-                       exit when a(i) = '1';
-                       r := r+1;
-               end loop;
-               return (CBITS - r);
+               if a'length <= 2 then
+                       n(n'right) := a(a'left);
+               else
+                       pv(a'length-1 downto 0) := a;
+                       if or_all(pv(wP-1 downto wP/2)) = '1' then
+                               n := '1' & find_msb((pv(wP-1 downto wP/2)));
+                       else
+                               n := '0' & find_msb((pv(wP/2-1 downto 0)));
+                       end if;
+               end if;
+               return n;
        end function find_msb;
+       -- -- alternativ: eleganter, braucht aber mehr logic cells
+       -- for i in (CBITS-1) downto 0 loop
+       --       exit when a(i) = '1';
+       --       r := r+1;
+       -- end loop;
+       -- return (CBITS - r);
 
        procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
        begin