projects
/
hwmod.git
/ blobdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
raw
|
inline
| side by side
pc-com: nur dumpen bei enter und ein state removed
[hwmod.git]
/
src
/
gen_pkg.vhd
diff --git
a/src/gen_pkg.vhd
b/src/gen_pkg.vhd
index 922911cb73134904fd0fd207c6c7b47715fcd5d9..6b2e92e42c3f777b2687a088a2b79bea6afac9fa 100644
(file)
--- a/
src/gen_pkg.vhd
+++ b/
src/gen_pkg.vhd
@@
-109,8
+109,7
@@
package gen_pkg is
end component parser;
component scanner is
end component parser;
component scanner is
- port
- (
+ port (
sys_clk : in std_logic;
sys_res_n : in std_logic;
-- PS/2
sys_clk : in std_logic;
sys_res_n : in std_logic;
-- PS/2
@@
-186,19
+185,15
@@
package gen_pkg is
port (
sys_clk : in std_logic;
sys_res_n : in std_logic;
port (
sys_clk : in std_logic;
sys_res_n : in std_logic;
-
--button
btn_a : in std_logic;
--button
btn_a : in std_logic;
-
--uart_tx
tx_data : out std_logic_vector(7 downto 0);
tx_new : out std_logic;
tx_done : in std_logic;
--uart_tx
tx_data : out std_logic_vector(7 downto 0);
tx_new : out std_logic;
tx_done : in std_logic;
-
--uart_rx
rx_data : in std_logic_vector(7 downto 0);
rx_new : in std_logic;
--uart_rx
rx_data : in std_logic_vector(7 downto 0);
rx_new : in std_logic;
-
-- History
pc_zeile : out hzeile;
pc_spalte : out hspalte;
-- History
pc_zeile : out hzeile;
pc_spalte : out hspalte;