display: vorbereitungen fuer eine art $PS1 :p
[hwmod.git] / src / display.vhd
index 17a717e7ef6243c9b21a6a9ccaaf0d16d3c3d786..d632596c06d21a2222ecca9a8ab8319c08b9466d 100644 (file)
@@ -13,6 +13,7 @@ entity display is
                -- History
                d_new_eingabe : in std_logic;
                d_new_result : in std_logic;
+               d_new_bs : in std_logic;
                d_zeile : out hzeile;
                d_spalte : out hspalte;
                d_get : out std_logic;
@@ -26,14 +27,17 @@ entity display is
 end entity display;
 
 architecture beh of display is
-       type DISPLAY_STATE is (S_INIT, SIDLE, S_NEW_RESULT, S_NEW_INPUT, S_COUNTUP, S_GETCH,
-       S_CR1, S_NL1, S_PUTCH1, S_PUTCH2, S_WAIT, S_NOP1);
+       type DISPLAY_STATE is (SIDLE, S_NEW_BS, S_BACK, S_BLANK, S_NEW_RESULT,
+               S_ZEILEUP, S_NEW_INPUT, S_COUNTUP, S_GETCH, S_CR1, S_NL1, S_PUTCH1,
+               S_PUTCH2, S_WAIT, S_NOP1, S_READ_RESULT, S_INIT, S_PS1_0,
+               S_PS1_1, S_PS1_2, S_PS1_3, S_PS1_4, S_PS1_5);
        signal state_int, state_next : DISPLAY_STATE;
        signal d_zeile_int, d_zeile_next : hzeile;
        signal d_spalte_int, d_spalte_next : hspalte;
        signal d_get_int, d_get_next : std_logic;
        signal command_int, command_next : std_logic_vector(7 downto 0);
        signal command_data_int, command_data_next : std_logic_vector(31 downto 0);
+       signal istate_next, istate_int : signed(3 downto 0);
 begin
        d_zeile <= d_zeile_int;
        d_spalte <= d_spalte_int;
@@ -46,6 +50,7 @@ begin
                if sys_res_n = '0' then
                        -- internal
                        state_int <= S_INIT;
+                       istate_int <= (others => '0');
                        -- out
                        d_zeile_int <= (others => '0');
                        d_spalte_int <= (others => '0');
@@ -55,6 +60,7 @@ begin
                elsif rising_edge(sys_clk) then
                        -- internal
                        state_int <= state_next;
+                       istate_int <= istate_next;
                        -- out
                        d_zeile_int <= d_zeile_next;
                        d_spalte_int <= d_spalte_next;
@@ -65,33 +71,100 @@ begin
        end process;
 
        -- next state
-       process(state_int, d_new_result, d_new_eingabe, d_done, free, d_spalte_int,
-               d_char)
+       process(state_int, d_new_result, d_new_eingabe, d_new_bs, d_done, free,
+               d_spalte_int, d_char, istate_int)
        begin
                state_next <= state_int;
+               istate_next <= istate_int;
 
                case state_int is
                        when S_INIT =>
-                               state_next <= SIDLE;
+                               state_next <= S_PS1_0;
+
+                       when S_PS1_0 =>
+                               istate_next <= b"1001";
+                               state_next <= S_WAIT;
+                       when S_PS1_1 =>
+                               istate_next <= b"1010";
+                               state_next <= S_WAIT;
+                       when S_PS1_2 =>
+                               istate_next <= b"1011";
+                               state_next <= S_WAIT;
+                       when S_PS1_3 =>
+                               istate_next <= b"1100";
+                               state_next <= S_WAIT;
+                       when S_PS1_4 =>
+                               istate_next <= b"1101";
+                               state_next <= S_WAIT;
+                       when S_PS1_5 =>
+                               istate_next <= b"0111";
+                               state_next <= S_WAIT;
+
                        when SIDLE =>
-                               if d_new_eingabe = '1' then
+                               istate_next <= b"0111"; -- default: immer wieder ins SIDLE;
+                               if d_new_bs = '1' then
+                                       state_next <= S_NEW_BS;
+                               elsif d_new_eingabe = '1' then
                                        state_next <= S_NEW_INPUT;
                                end if;
                                if d_new_result = '1' then
                                        state_next <= S_NEW_RESULT;
                                end if;
+
                        when S_NEW_RESULT =>
-                               state_next <= S_CR1;
+                               state_next <= S_ZEILEUP;
                        when S_NEW_INPUT =>
                                state_next <= S_COUNTUP;
+
+                       when S_NEW_BS =>
+                               state_next <= S_BACK;
+                       when S_BACK =>
+                               if free = '0' then
+                                       state_next <= S_WAIT;
+                                       case istate_int is
+                                               when b"0111" => istate_next <= b"0001"; -- => danach S_BLANK und wieder hierher
+                                               when others => istate_next <= b"0111"; -- => danach SIDLE
+                                       end case;
+                               end if;
+                       when S_BLANK =>
+                               if free = '0' then
+                                       state_next <= S_WAIT;
+                                       istate_next <= b"0010"; -- => danach S_BACK
+                               end if;
+
+                       when S_ZEILEUP =>
+                               case istate_int is
+                                       when b"0011" =>
+                                               state_next <= S_WAIT;
+                                               istate_next <= b"1000"; -- => danach S_PS1
+                                       when others => state_next <= S_CR1;
+                               end case;
+
                        when S_CR1 =>
                                if free = '0' then
-                                       state_next <= S_NL1;
+                                       state_next <= S_WAIT;
+                                       case istate_int is
+                                               when b"0110" => istate_next <= b"0101"; -- => danach S_NL1, S_ZEILEUP, S_PS1, SIDLE
+                                               when others => istate_next <= b"0000"; -- => danach S_NL1 und S_COUNTUP
+                                       end case;
                                end if;
                        when S_NL1 =>
                                if free = '0' then
+                                       state_next <= S_WAIT;
+                                       case istate_int is
+                                               when b"0101" => istate_next <= b"0011"; -- => danach S_ZEILEUP, PS1
+                                               when others => istate_next <= b"0100"; -- => danach S_READ_RESULT
+                                       end case;
+                               end if;
+                       when S_READ_RESULT =>
+                               if unsigned(d_spalte_int) /= 70 then
                                        state_next <= S_COUNTUP;
+                                       istate_next <= b"0100"; -- => wieder nach S_READ_RESULT
+                               else
+                                       state_next <= S_WAIT;
+                                       istate_next <= b"0110"; -- => danach S_CR1 und d_spalte_next clearen und d_zeile_next inkrementieren
                                end if;
+
                        when S_COUNTUP =>
                                state_next <= S_GETCH;
                        when S_GETCH =>
@@ -110,12 +183,23 @@ begin
                                end if;
                        when S_NOP1 =>
                                if free = '1' then
-                                       state_next <= SIDLE;
-                                       --if unsigned(d_spalte_int) = 71 then
-                                       --      state_next <= SIDLE;
-                                       --else
-                                       --      state_next <= S_COUNTUP;
-                                       --end if;
+                                       case istate_int is
+                                               when b"0000" => state_next <= S_NL1;
+                                               when b"0001" => state_next <= S_BLANK;
+                                               when b"0010" => state_next <= S_BACK;
+                                               when b"0011" => state_next <= S_ZEILEUP;
+                                               when b"0100" => state_next <= S_READ_RESULT;
+                                               when b"0110" => state_next <= S_CR1;
+                                               when b"0101" => state_next <= S_NL1;
+
+                                               when b"1000" => state_next <= S_PS1_0;
+                                               when b"1001" => state_next <= S_PS1_1;
+                                               when b"1010" => state_next <= S_PS1_2;
+                                               when b"1011" => state_next <= S_PS1_3;
+                                               when b"1100" => state_next <= S_PS1_4;
+                                               when b"1101" => state_next <= S_PS1_5;
+                                               when others => state_next <= SIDLE;
+                                       end case;
                                end if;
                end case;
        end process;
@@ -131,25 +215,61 @@ begin
                command_data_next <= command_data_int;
 
                case state_int is
-                       when S_INIT =>
-                               d_spalte_next <= (others => '0');
-                               d_zeile_next <= (others => '0');
-                       when SIDLE =>
-                               null;
-                       when S_NEW_INPUT =>
-                               null;
-                       when S_NEW_RESULT =>
+                       when S_INIT => null;
+
+                       -- TODO: coole farben
+                       when S_PS1_0 =>
+                               command_next <= COMMAND_SET_CHAR;
+                               command_data_next <= x"ffffff" & x"28"; -- '('
+                       when S_PS1_1 =>
+                               command_next <= COMMAND_SET_CHAR;
+                               -- d_zeile/2, zehnerstelle
+                               command_data_next <= x"ffffff" & x"78"; -- 'x'
+                       when S_PS1_2 =>
+                               command_next <= COMMAND_SET_CHAR;
+                               -- d_zeile/2, einerstelle
+                               command_data_next <= x"ffffff" & x"79"; -- 'y'
+                       when S_PS1_3 =>
+                               command_next <= COMMAND_SET_CHAR;
+                               command_data_next <= x"ffffff" & x"29"; -- ')'
+                       when S_PS1_4 =>
+                               command_next <= COMMAND_SET_CHAR;
+                               command_data_next <= x"ffffff" & x"24"; -- '$'
+                       when S_PS1_5 =>
+                               command_next <= COMMAND_SET_CHAR;
+                               command_data_next <= x"ffffff" & x"20"; -- ' '
+
+                       when SIDLE => null;
+                       when S_NEW_RESULT => null;
+                       when S_NEW_INPUT => null;
+
+                       when S_NEW_BS =>
+                               -- underflow check schon im history modul
+                               d_spalte_next <= std_logic_vector(unsigned(d_spalte_int) - 1);
+                       when S_BACK =>
+                               -- einen schritt zurueck, +6 wegen $PS1
+                               command_next <= COMMAND_SET_CURSOR_COLUMN;
+                               command_data_next <= x"ffffff" & '0' & std_logic_vector(unsigned(d_spalte_int) + 6);
+                       when S_BLANK =>
+                               command_next <= COMMAND_SET_CHAR;
+                               command_data_next <= x"ffffff" & x"20"; -- white space
+
+                       when S_ZEILEUP =>
                                d_spalte_next <= (others => '0');
                                case d_zeile_int is
-                                       when "11111" => d_zeile_next <= "00000";
+                                       -- 49 * 2 + 1
+                                       when "1100010" => d_zeile_next <= (others => '0');
                                        when others => d_zeile_next <= std_logic_vector(unsigned(d_zeile_int) + 1);
                                end case;
+
                        when S_CR1 =>
                                command_next <= COMMAND_SET_CHAR;
                                command_data_next <= x"ffffff" & x"0d"; -- carrige return
                        when S_NL1 =>
                                command_next <= COMMAND_SET_CHAR;
                                command_data_next <= x"ffffff" & x"0a"; -- newline
+                       when S_READ_RESULT => null;
+
                        when S_COUNTUP =>
                                d_get_next <= '1';
                                d_spalte_next <= std_logic_vector(unsigned(d_spalte_int) + 1);