history: testbench mit scanner und display instanz erweitert
[hwmod.git] / src / beh_display_tb.vhd
index 02a82575956046e5d2bff6d96ecce5835e335750..b3be1e1685f673199756d8914d76a951daa915ae 100644 (file)
@@ -59,7 +59,7 @@ begin
        end process;
 
        process
-               variable input : hstring := "123513                                                                 ";
+               variable input : hstring := "123513                                                                  ";
                variable ctmp : character;
 
                variable checkall : boolean := true;
@@ -76,10 +76,12 @@ begin
                icwait(sys_clk, 5);
                sys_res_n <= '1';
 
-               while i <= 71 loop
+               while i <= 5 loop
+                       icwait(sys_clk, 10);
                        d_new_eingabe <= '1';
                        wait on d_get; -- = '1';
                        icwait(sys_clk, 1);
+                       d_new_eingabe <= '0';
 
                        ctmp := input(to_integer(unsigned(d_spalte)));
                        d_char <= hbyte(to_unsigned(character'pos(ctmp),8));
@@ -88,21 +90,40 @@ begin
                        wait on d_get; -- = '0';
                        icwait(sys_clk, 1);
                        d_done <= '0';
-                       d_new_eingabe <= '0';
 
                        free <= '0';
-                       icwait(sys_clk, 3);
+                       icwait(sys_clk, 1);
                        free <= '1';
-                       icwait(sys_clk, 3);
+                       icwait(sys_clk, 1);
 
                        -- fuer NOP
                        free <= '0';
-                       icwait(sys_clk, 3);
+                       icwait(sys_clk, 1);
                        free <= '1';
+                       icwait(sys_clk, 1);
 
                        i := i + 1;
                end loop;
 
+               icwait(sys_clk, 2);
+               d_new_result <= '1';
+               icwait(sys_clk, 2);
+               d_new_result <= '0';
+
+               -- fuer NOP
+               free <= '0';
+               icwait(sys_clk, 1);
+               free <= '1';
+               icwait(sys_clk, 2);
+
+               -- fuer NOP
+               free <= '0';
+               icwait(sys_clk, 1);
+               free <= '1';
+               icwait(sys_clk, 1);
+               icwait(sys_clk, 1);
+
+
 
                if checkall then
                        report "alle testfaelle des Displays waren erfolgreich!";