uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / TODO
index ac3ae5765340da4ba4302c6ad1e5859480735d30..2c2e9179fe9b93298875d79dfb2d46acb6b790fd 100644 (file)
--- a/src/TODO
+++ b/src/TODO
@@ -1,28 +1,29 @@
-- debounce fuer sys_res_n und btnA -- einfach die debounce entity vom example
-  hernehmen
-
-
-- rs232/pc-kommunikation: RAM dumpen
+== low prio ==
+- logic elements eliminieren
 
+== jakob/thomas fragen ==
+- sram warning @ quartus => duerfen wir ignorieren
 
-- scanner rewrite: nicht bei key-release sondern bei key-pressed
-       o vorteil: man kann auf der taste drauf bleiben und man kann
-         keine zahlen mehr mit den coursertasten eingeben
+- coverage fuer abgabe noetig? => nein
 
+- bei -cover funktioniert 's' nicht, siehe http://pastebin.com/p8RwNk7j => keine
+  ahnung, wenns geht minimalbeispiel machen
 
-- uart rx oversampling, uart rx synchronizen (vlg. debouncing/sync*.vhd)
+- wie detailiert muessen die screenshots der simulationen sein? => man soll was
+  erkennen und erklaeren koennen dazu.
 
+- warum ist auf seite 14 im foliensatz "VHDL_Architecture" "directly at
+  instantation" durchgestrichen? :/ (wird so ziemlich ueberall verwendet hier)
+  => deprecated und man soll mindestens component definitionen fuer alle module
+  machen
 
-- postlayout: nochmal testen obs im tilab wirklich ned geht.
+- postlayout: geht im tilab nicht... reicht screenshot? => ja
 
 
-== low prio ==
-- mehr testfaelle fuer alu/scanner/parser
-- parser refactor
-- logic elements eliminieren
 
-== jakob/thomas fragen ==
-- sram warning @ quartus
-- coverage fuer abgabe noetig?
-- bei -cover funktioniert 's' nicht, siehe http://pastebin.com/p8RwNk7j
-- wo sieht man f_max im quartus?
+== FAQ =
+Q: wo sieht man f_max im quartus?
+A: in der project_gen.tcl die zeile 
+       > set_global_assignment -name FMAX_REQUIREMENT "33.33 MHz" -section_id sys_clk
+   entfernen und danach das quartus projekt neu erstellen. danach sollte fmax im
+   timing report ersichtlich sein.