spec: signale ein wenig umbenannt; layout angepasst
[hwmod.git] / spec / speck.tex
index 29bdfcaf4ca928ff18b3c70bb0e1d019be5fb67d..34cba26b3aa669a138a6f7789f97efa04896a686 100644 (file)
@@ -15,15 +15,10 @@ Multiplikation und Division beherrscht, auf einem FPGA Board zu realisieren. Dab
 Tastatur beziehungsweise als Ausgabegerät ein VGA Monitor dienen. Als zusätzliches Feature soll eine
 History eingebaut werden, sodass vergangene Berechnungen angezeigt werden
 können. Außerdem besteht die Möglichkeit diese History per RS232-Schnittstelle zu
-exportieren bzw. importieren.
+exportieren.
 
 \section{Requirement Spezifikation}
 
-%TODO: in der Angabe steht nix von einer extrigen Requirement Spezifikation neben der High Level Beschreibung, aber im Template und Auf den Folien ist es als 3. Punkt neben High- und Low Level Spec noch erwähnt.
-%
-%Die Subsections sind vielleicht ein kleiner Overshoot.
-
-
 \subsection{Eingabe}
 
 \req{Der Taschenrechner soll eine Eingabe bestehend aus den Ziffern '0'-'9', Leerzeichen ' ', '+', '-', '*' und '/' ausrechnen können}
@@ -41,29 +36,31 @@ OPERATOR = '+' \textbar '-' \textbar '*' \textbar '/' ;
 EXPRESSION = OPERAND \{ OPERATOR OPERAND \} ;
 }
 
-\req{Dabei soll Punkt- vor Strichrechnung gelten}
+\req{Bei der Auswertung der Ausdr\"ucke soll Punkt- vor Strichrechnung gelten}
 
-\req{Die Zahlen dürfen im Zahlenbereich eines signed long liegen ($-2^{31}$ bis $2^{31}-1$)}
+\req{Die Zahlen m\"uessen im Zahlenbereich eines signed long liegen ($-2^{31}$ bis $2^{31}-1$)}
 
-\req{Die Eingabe darf aus 70 Zeichen bestehen}
+\req{Die Eingabe soll aus bis zu 70 Zeichen bestehen}
 
-\req{Die Eingabe erfolgt über eine PS/2-Tastatur, 'Enter' schließt die Eingabe ab und berechnet das Ergebnis, 'Backspace' löscht das zuletzt eingegebene Zeichen}
+\req{Die Eingabe erfolgt über eine PS/2-Tastatur. 'Enter' schließt die Eingabe ab und es soll
+anschlie\ss{}end das Ergebnis berechnet werden. 'Backspace' löscht das zuletzt eingegebene
+Zeichen im Eingabebuffer}
 
 \subsection{Ausgabe}
 
-\req{Die Anzeige der Ein- und Ausgaben erfolgt über einen VGA Monitor.}
+\req{Die Anzeige der Ein- und Ausgaben erfolgt über einen VGA Monitor}
 
 \req{Es wird pro Zeile eine Eingabe oder Ausgabe angezeigt. Die aktuelle Eingabezeile wandert nach
 unten, dar\"uber befindet sich das Ergebnis der vorigen Rechnung, dar\"uber die Eingabe der
-vorigen Rechnung usw.}
-
-\req{Auf die in zuvor angegebene Methode sollen zusätzlich zur aktuellen Eingabezeile die letzten 14 Ein- und Ausgaben dargestellt werden}
+vorigen Rechnung usw.\\
+Aufgrund der physikalischen Beschr\"ankung sollen so insgesamt bis zu 14 Ein- und Ausgaben
+und die aktuelle Eingabezeile angezeigt werden k\"onnen. }
 
 \subsection{History}
 
-\req{Die letzten 50 Ein- und Ausgaben korrekter Eingaben werden als History im Speicher gehalten}
+\req{Die letzten 50 Ein- und Ausgaben werden als History im Speicher gehalten}
 
-\req{Die History soll über RS232, auf Anfrage vom PC, oder bei Betätigen eines Buttons am Development Board, zum PC gesendet werden}
+\req{Die History soll über RS232, auf Anfrage vom PC oder bei Betätigen eines Buttons am Development Board, zum PC gesendet werden}
 
 
 \section{High-Level Design Description}
@@ -80,16 +77,20 @@ In Abbildung \ref{fig:arch} ist der Aufbau des Taschenrechners zu sehen. Der Tas
 \begin{itemize}
 \item \textbf{VGA} - Zeichenweises Ansprechen des Monitors
 \item \textbf{Display} - Liest einzelne Zeichen aus der History aus und leitet diese an das VGA Modul weiter, um sie in der entsprechenden Zeile anzeigen zu lassen
-\item \textbf{RS232} - Senden und Empfangen von Nachrichten vom/zum PC über die serielle Schnittstelle % TODO. baudrate? kodierung? (8N1?)
+\item \textbf{RS232} - Senden und Empfangen von Daten vom/zum PC über die serielle Schnittstelle % TODO. baudrate? kodierung? (8N1?)
 \item \textbf{PC-Kommunikation} - Auf eine vom PC eintreffende Bufferübermittlungsanforderung oder ein Drücken des entsprechenden Board-Buttons, liest es Zeichen für Zeichen aus dem History Modul aus und leitet es an das RS232 Modul weiter
 \item \textbf{PS/2} - Empfangen von Keyboard-Eingaben als Scancodes
-\item \textbf{Scanner} - Empfängt die Scan-Codes vom PS/2 Modul und leitet nur für die Eingabezeile erlaubte Zeichen dekodiert in das CP850 Format an die History weiter, bei einem empfangenen Enter wird der Beginn der Berechnung an das Parser Modul signalisiert
+\item \textbf{Scanner} - Empfängt die Scan-Codes vom PS/2 Modul und leitet nur für die Eingabezeile
+erlaubte Zeichen dekodiert in das CP850 Format an die History weiter. Bei einem empfangenen
+Enter wird der Beginn der Berechnung an das Parser Modul signalisiert
 \item \textbf{Parser} - Wertet die Eingabezeile der History aus und liefert als Antwort entweder
-einen Fehler zurück oder gibt die einzelnen Berechnungen von je zwei Zahlen an die ALU weiter, die
-vom History Modul ausgelesenen zusammenhängenden Dezimalstellen werden intern umgerechnet, das
-Berechnungs-Endergebnis wird jedoch wieder in einzelne Charakter umgerechnet und in der History gespeichert
+einen Fehler zurück oder gibt die einzelnen Berechnungen von je zwei Zahlen an die ALU weiter.
+Die vom History Modul ausgelesenen Dezimalstellen werden intern umgerechnet.
+Das Endergebnis wird wieder in einzelne Charakter umgerechnet und in der History gespeichert
 \item \textbf{ALU} - Führt die tatsächlichen Berechnungen von Addition, Subtraktion, Multiplikation und Division durch
-\item \textbf{History} - Verwaltung des dahinterliegenden Buffers von vergangenen Berechnungen und der aktuellen Eingabezeile, bei Aktualisierung der aktuellen Eingabezeile und bei Empfang eines Ergebnisses vom Parser Modul signalisiert es das an das Display Modul
+\item \textbf{History} - Verwaltung des dahinterliegenden Buffers von vergangenen Berechnungen und
+der aktuellen Eingabezeile. Bei Aktualisierung der aktuellen Eingabezeile und bei Empfang eines
+Ergebnisses vom Parser Modul signalisiert es das Display Modul
 \end{itemize}
 
 
@@ -100,167 +101,164 @@ Berechnungs-Endergebnis wird jedoch wieder in einzelne Charakter umgerechnet und
 \THEAD
 vga\_clk & in & 1 & std\_logic & PLL & VGA-Clock \ZE
 vga\_res\_n & in & 1 & std\_logic & PLL & VGA-Reset \ZE
-
+\hline
 vsync\_n & out & 1 & std\_logic & Bildschirm & Vertikale Synchronisation\ZE
 hsync\_n & out & 1 & std\_logic & Bildschirm & Horizontale Synchronisation\ZE
 
 r & out & 3 & std\_logic\_vector & Bildschirm & Ausgabe der Farbe Rot \ZE
 g & out & 3 & std\_logic\_vector & Bildschirm & Ausgabe der Farbe Gr\"un \ZE
 b & out & 2 & std\_logic\_vector & Bildschirm & Ausgabe der Farbe Blau \ZE
-
+\hline
 command & in & 8 & std\_logic\_vector & Display & Kommando an das VGA Modul \ZE
 command\_data & in & 32 & std\_logic\_vector & Display & Daten f\"ur das Kommando \ZE
-free & out & 1 & std\_logic & Display & Signalisiert Bereitschaft \ZE
+free & out & 1 & std\_logic & Display & signalisiert Bereitschaft \ZE
 \TEND
 
-\newpage
 \subsubsection{Display}
-%Liest einzelne Zeichen aus der History aus und leitet diese an das VGA Modul weiter, um sie in der entsprechenden Zeile anzeigen zu lassen
 \THEAD
-new\_eingabe & in & 1 & std\_logic & History & Aufforderung der History die aktuelle Eingabe
-auszulesen \ZE
-new\_result & in & 1 & std\_logic & History & Aufforderung der History das aktuelle Ergebnis
-auszulesen \ZE
-zeile & out & 5 & std\_logic\_vector & History & Zeilenadressierung ($2 * 15$ Zeilen $=30$) \ZE
-spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
-get & out & 1 & std\_logic & History & Signalisiert Speicheranforderung \ZE
-done & in & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
-char & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
-
+d\_new\_eingabe & in & 1 & std\_logic & History &die aktuelle Eingabe ist zu aktualisieren \ZE
+d\_new\_result & in & 1 & std\_logic & History & das Ergebnis ist zu aktualisieren \ZE
+d\_zeile & out & 5 & std\_logic\_vector & History & Zeilenadressierung ($2 \cdot 15$ Zeilen $=30$) \ZE
+d\_spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71
+Zeichen inkl. \textbackslash 0) \ZE
+d\_get & out & 1 & std\_logic & History & signalisiert Speicheranforderung \ZE
+d\_done & in & 1 & std\_logic & History & Daten liegen an \ZE
+d\_char & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
+\hline
 command & out & 8 & std\_logic\_vector & VGA & Kommando an das VGA Modul \ZE
 command\_data & out & 32 & std\_logic\_vector & VGA & Daten f\"ur das Kommando \ZE
-free & in & 1 & std\_logic & VGA & Signalisiert Bereitschaft \ZE
+free & in & 1 & std\_logic & VGA & signalisiert Bereitschaft \ZE
 \TEND
 
-\newpage
 \subsubsection{RS232}
-%Senden und Empfangen von Nachrichten vom/zum PC über die serielle Schnittstelle
 \THEAD
 rxd & in & 1 & std\_logic & PC & Sendeleitung der seriellen \"Ubertragung \ZE
 txd & out & 1 & std\_logic & PC & Empfangsleitung der seriellen \"Ubertragung \ZE
+\hline
+rx\_data & out & 8 & std\_logic\_vector & PC-Komm. & Datenfeld f\"ur das Empfangen \ZE
+rx\_new & out & 1 & std\_logic & PC-Komm. & signalisiert ein neu empfangendes Byte \ZE
 
-rx\_data & out & 8 & std\_logic\_vector & PC-Kommunikation & Datenfeld f\"ur das Empfangen \ZE
-rx\_new & out & 1 & std\_logic & PC-Kommunikation & Signalisiert ein neu empfangendes Byte \ZE
-
-tx\_data & out & 8 & std\_logic\_vector & PC-Kommunikation & Datenfeld f\"ur das \"Ubertragen \ZE
-tx\_new & out & 1 & std\_logic & PC-Kommunikation & Signalisiert dass das anliegende Byte gesendet werden soll \ZE
+tx\_data & out & 8 & std\_logic\_vector & PC-Komm. & Datenfeld f\"ur das \"Ubertragen \ZE
+tx\_new & out & 1 & std\_logic & PC-Komm. & signalisiert dass das anliegende Byte gesendet werden soll \ZE
 \TEND
 
-\newpage
 \subsubsection{PC-Kommunikation}
-%Auf eine vom PC eintreffende Bufferübermittlungsanforderung oder ein Drücken des entsprechenden Board-Buttons, liest es Zeichen für Zeichen aus dem History Modul aus und leitet es an das RS232 Modul weiter
 \THEAD
-btn\emph{A} & in & 1 & std\_logic & externer Button & zum Triggern der RS232 Kommunikation \ZE
-
+btn\emph{A} & in & 1 & std\_logic & externer Button & zum Triggern der RS232
+Kommunikation (low-aktiv) \ZE
+\hline
 rx\_data & in & 8 & std\_logic\_vector & RS232 & Datenfeld f\"ur das Empfangen \ZE
-rx\_new & in & 1 & std\_logic & RS232 & Signalisiert ein neu empfangendes Byte \ZE
+rx\_new & in & 1 & std\_logic & RS232 & signalisiert ein neu empfangendes Byte \ZE
 tx\_data & in & 8 & std\_logic\_vector & RS232 & Datenfeld f\"ur das \"Ubertragen \ZE
-tx\_new & in & 1 & std\_logic & RS232 & Signalisiert dass das anliegende Byte gesendet werden soll \ZE
-
-zeile & out & 7 & std\_logic\_vector & History & Zeilenadressierung (50 * 2 Zeilen = 100)\ZE
-spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
-get & out & 1 & std\_logic & History & Signalisiert Speicheranforderung \ZE
-done & in & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
-char & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
+tx\_new & in & 1 & std\_logic & RS232 & signalisiert dass das anliegende Byte gesendet werden soll \ZE
+\hline
+pc\_zeile & out & 7 & std\_logic\_vector & History & Zeilenadressierung ($50 \cdot
+2$ Zeilen $=100$)\ZE
+pc\_spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71
+Zeichen inkl. \textbackslash 0) \ZE
+pc\_get & out & 1 & std\_logic & History & signalisiert Speicheranforderung \ZE
+pc\_done & in & 1 & std\_logic & History & Daten liegen an \ZE
+pc\_char & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
 \TEND
 
-
 \newpage
 \subsubsection{PS/2}
 \THEAD
-new\_data & out & 1 & std\_logic\_vector & Scanner & Signalisiert neuen Scancode \ZE
+new\_data & out & 1 & std\_logic\_vector & Scanner & signalisiert neuen Scancode \ZE
 data & out & 8 & std\_logic\_vector & Scanner & Scancode laut Codepage 850 \ZE
-
+\hline
 ps2\_clk & inout & 1 & std\_logic & Tastatur & Clockleitung zum Keyboard \ZE
 ps2\_data & inout & 1 & std\_logic & Tastatur & Datenleitung zum Keyboard \ZE
 \TEND
 
 
 \subsubsection{Scanner}
-%Empfängt die Scan-Codes vom PS/2 Modul und leitet nur für die Eingabezeile erlaubte Zeichen dekodiert in das CP850 Format an die History weiter, bei einem empfangenen Enter wird der Beginn der Berechnung an das Parser Modul signalisiert
 \THEAD
-new\_data & in & 1 & std\_logic\_vector & PS/2 & Signalisiert neuen Scancode \ZE
+new\_data & in & 1 & std\_logic\_vector & PS/2 & signalisiert neuen Scancode \ZE
 data & in & 8 & std\_logic\_vector & PS/2 & Scancode laut Codepage 850 \ZE
-
-char & out & 8 & character & History & Zeichen das vom History Modul \"ubernommen werden soll \ZE
-take & out & 1 & std\_logic & History & Signalisiert Datenfeld char \ZE
-done & in & 1 & std\_logic & History & Signalisiert die \"Ubernahme der Daten \ZE
-backspace & out & 1 & std\_logic & History & Signalisiert Backspace (letztes Zeichen im Buffer soll gel\"oscht werden) \ZE
-
+\hline
+s\_char & out & 8 & character & History & Zeichen das \"ubernommen werden soll \ZE
+s\_take & out & 1 & std\_logic & History & signalisiert Datenfeld char \ZE
+s\_done & in & 1 & std\_logic & History & signalisiert die \"Ubernahme der Daten \ZE
+s\_backspace & out & 1 & std\_logic & History & letztes Zeichen soll gel\"oscht werden \ZE
+\hline
 do\_it & out & 1 & std\_logic & Parser & Auswertung beginnen (ENTER) \ZE
 finished & in & 1 & std\_logic & Parser & Auswertung fertig \ZE
 \TEND
 
 
 \subsubsection{Parser}
-%Wertet die Eingabezeile der History aus und liefert als Antwort entweder einen Fehler zurück oder gibt die einzelnen Berechnungen von je zwei Zahlen an die ALU weiter, die vom History Modul ausgelesenen zusammenhängenden Dezimalstellen werden mit dem Bin/Dez-Converter Modul in Binärzahlen umgerechnet, das Berechnungs-Endergebnis wird wieder in mit dem Converter Modul in einzelne Charakter umgerechnet und in der History gespeichert
 \THEAD
-h\_rw & in & 1 & std\_logic & History & 0 = read (Expression), 1 = write (Ergebnis) \ZE
-h\_spalte & in & 7 & std\_logic\_vector & History & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
-h\_rget & in & 1 & std\_logic & History & Signalisiert Leseanforderung \ZE
-h\_rdone & out & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
-h\_read & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
-
-h\_wtake & in & 1 & std\_logic & History & Signalisiert Schreibanforderung \ZE
-h\_wdone & out & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
-h\_write & out & 8 & character & History & enth\"alt zu schreibende Daten \ZE
-
-h\_finished & in & 1 & std\_logic & History & Auswertung fertig \ZE
-
+p\_rw & out & 1 & std\_logic & History & 0 = read (Expression), 1 = write (Ergebnis) \ZE
+p\_spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71
+Zeichen inkl. \textbackslash 0) \ZE
+p\_rget & out & 1 & std\_logic & History & signalisiert Leseanforderung \ZE
+p\_rdone & in & 1 & std\_logic & History & Daten liegen an \ZE
+p\_read & out & 8 & character & History & enth\"alt angeforderne Daten \ZE
+
+p\_wtake & out & 1 & std\_logic & History & signalisiert Schreibanforderung \ZE
+p\_wdone & in & 1 & std\_logic & History & Daten liegen an \ZE
+p\_write & in & 8 & character & History & enth\"alt zu schreibende Daten \ZE
+
+p\_finished & out & 1 & std\_logic & History & Auswertung fertig \ZE
+\hline
 opcode & out & 3 & enum OPS & ALU & die auszuf\"uhrende Art der Berechnung \ZE
 op1 & out & 32 & SIGNED(32-1 downto 0) & ALU & erste Operand \ZE
-op2 & inout & 32 & SIGNED(32-1 downto 0) & ALU & zweite Operand und gleichzeitig der Zieloperand \ZE
-do\_calc & out & 1 & std\_logic & ALU & Signalisert Berechnungstart \ZE
+op2 & out & 32 & SIGNED(32-1 downto 0) & ALU & zweite Operand \ZE
+op3 & in & 32 & SIGNED(32-1 downto 0) & ALU & Zieloperand \ZE
+do\_calc & out & 1 & std\_logic & ALU & signalisert Berechnungsart \ZE
 calc\_done & in & 1 & std\_logic & ALU & Berechnung fertig \ZE
-
+error & in & 1 & std\_logic & ALU & Berechnung war fehlerhaft \ZE
+\hline
 do\_it & in & 1 & std\_logic & Scanner & Auswertung beginnen (ENTER) \ZE
 finished & out & 1 & std\_logic & Scanner & Auswertung fertig \ZE
 \TEND
 
 
 \subsubsection{ALU}
-%Führt die tatsächlichen Berechnungen von Addition, Subtraktion, Multiplikation und Division durch
 \THEAD
 opcode & in & 3 & enum OPS & Parser & die auszuf\"uhrende Art der Berechnung \ZE
 op1 & in & 32 & SIGNED(32-1 downto 0) & Parser & erste Operand \ZE
-op2 & inout & 32 & SIGNED(32-1 downto 0) & Parser & zweite Operand und gleichzeitig der Zieloperand \ZE
-do\_calc & in & 1 & std\_logic & Parser & Signalisert Berechnungstart \ZE
+op2 & in & 32 & SIGNED(32-1 downto 0) & ALU & zweite Operand \ZE
+op3 & out & 32 & SIGNED(32-1 downto 0) & ALU & Zieloperand \ZE
+do\_calc & in & 1 & std\_logic & Parser & signalisert Berechnungsart \ZE
 calc\_done & out & 1 & std\_logic & Parser & Berechnung fertig \ZE
+error & out & 1 & std\_logic & Parser & Berechnung war fehlerhaft \ZE
 \TEND
 
-
 \subsubsection{History}
-%Verwaltung des dahinterliegenden Buffers von vergangenen Berechnungen und der aktuellen
-%Eingabezeile, bei Aktualisierung der aktuellen Eingabezeile und bei Empfang eines Ergebnisses vom
-%Parser Modul signalisiert es das an das Display Modul
 \THEAD
-pc\_zeile & in & 7 & std\_logic\_vector & PC-Kommunikation & Zeilenadressierung (50 * 2 Zeilen = 100)\ZE
-pc\_spalte & in & 7 & std\_logic\_vector & PC-Kommunikation & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
-pc\_get & in & 1 & std\_logic & PC-Kommunikation & Signalisiert Speicheranforderung \ZE
-pc\_done & out & 1 & std\_logic & PC-Kommunikation & Signalisiert dass Daten anliegen \ZE
-pc\_char & out & 8 & character & PC-Kommunikation & enth\"alt angeforderne Daten \ZE
-
-s\_char & in & 8 & character & Scanner & Zeichen das vom Scanner Modul \"ubernommen werden soll \ZE
-s\_take & in & 1 & std\_logic & Scanner & Signalisiert Datenfeld char \ZE
-s\_done & out & 1 & std\_logic & Scanner & Signalisiert die \"Ubernahme der Daten \ZE
-s\_backspace & in & 1 & std\_logic & Scanner & Signalisiert Backspace (letztes Zeichen im Buffer soll gel\"oscht werden) \ZE
-
-d\_new\_eingabe & out & 1 & std\_logic & Display & Fordert Display auf die Eingabe auszulesen \ZE
-d\_new\_result & out & 1 & std\_logic & Display & Fordert Display auf das Ergebnis auszulesen \ZE
-d\_zeile & in & 5 & std\_logic\_vector & Display & Zeilenadressierung ($2 * 15$ Zeilen $=30$) \ZE
-d\_spalte & in & 7 & std\_logic\_vector & Display & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
-d\_get & in & 1 & std\_logic & Display & Signalisiert Speicheranforderung \ZE
-d\_done & out & 1 & std\_logic & Display & Signalisiert dass Daten anliegen \ZE
-d\_char & out & 8 & character & Display & enth\"alt angeforderne Daten \ZE
-
+pc\_zeile & in & 7 & std\_logic\_vector & PC-Komm. & Zeilenadressierung
+($50 \cdot 2$ Zeilen $=100$)\ZE
+pc\_spalte & in & 7 & std\_logic\_vector & PC-Komm. &
+Spaltenadressierung (71 Zeichen inkl. \textbackslash 0) \ZE
+pc\_get & in & 1 & std\_logic & PC-Komm. & signalisiert Speicheranforderung \ZE
+pc\_done & out & 1 & std\_logic & PC-Komm. & Daten liegen an \ZE
+pc\_char & out & 8 & character & PC-Komm. & enth\"alt angeforderne Daten \ZE
+\hline
+s\_char & in & 8 & character & Scanner & Zeichen das \"ubernommen werden soll \ZE
+s\_take & in & 1 & std\_logic & Scanner & signalisiert Datenfeld char \ZE
+s\_done & out & 1 & std\_logic & Scanner & signalisiert die \"Ubernahme der Daten \ZE
+s\_backspace & in & 1 & std\_logic & Scanner & letztes Zeichen soll gel\"oscht werden \ZE
+\hline
+d\_new\_eingabe & out & 1 & std\_logic & Display & die aktuelle Eingabe ist zu aktualisieren\ZE
+d\_new\_result & out & 1 & std\_logic & Display & das Ergebnis ist zu aktualisieren\ZE
+d\_zeile & in & 5 & std\_logic\_vector & Display & Zeilenadressierung ($2 \cdot 15$ Zeilen $=30$) \ZE
+d\_spalte & in & 7 & std\_logic\_vector & Display & Spaltenadressierung (71
+Zeichen inkl. \textbackslash 0) \ZE
+d\_get & in & 1 & std\_logic & Display & signalisiert Speicheranforderung \ZE
+d\_done & out & 1 & std\_logic & Display & Daten liegen an \ZE
+d\_char & out & 8 & character & Display & enth\"alt angeforderte Daten \ZE
+\hline
 p\_rw & in & 1 & std\_logic & Parser & 0 = read (Expression), 1 = write (Ergebnis) \ZE
-p\_spalte & in & 7 & std\_logic\_vector & Parser & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
-p\_rget & in & 1 & std\_logic & Parser & Signalisiert Leseanforderung \ZE
-p\_rdone & out & 1 & std\_logic & Parser & Signalisiert dass Daten anliegen \ZE
-p\_read & in & 8 & character & Parser & enth\"alt angeforderne Daten \ZE
-
-p\_wtake & in & 1 & std\_logic & Parser & Signalisiert Schreibanforderung \ZE
-p\_wdone & out & 1 & std\_logic & Parser & Signalisiert dass Daten anliegen \ZE
+p\_spalte & in & 7 & std\_logic\_vector & Parser & Spaltenadressierung (71
+Zeichen inkl. \textbackslash 0) \ZE
+p\_rget & in & 1 & std\_logic & Parser & signalisiert Leseanforderung \ZE
+p\_rdone & out & 1 & std\_logic & Parser & Daten liegen an \ZE
+p\_read & in & 8 & character & Parser & enth\"alt angeforderte Daten \ZE
+
+p\_wtake & in & 1 & std\_logic & Parser & signalisiert Schreibanforderung \ZE
+p\_wdone & out & 1 & std\_logic & Parser & Daten liegen an \ZE
 p\_write & out & 8 & character & Parser & enth\"alt zu schreibende Daten \ZE
 
 p\_finished & in & 1 & std\_logic & Parser & Auswertung fertig \ZE
@@ -281,14 +279,15 @@ W
 
 %wie wärs mit einem easter egg...
 
-\paragraph{Overflows}
-Tritt ein Overflow während der Berechnung auf -- entweder in einem Zwischenergebnis, oder im
+\paragraph{Over- und Underflows}
+Tritt ein Over- bzw. Underflow während der Berechnung auf -- entweder in einem Zwischenergebnis, oder im
 Endergebnis -- dann wird der Fehlerstring ``ERROR'' in der Ergebniszeile ausgegeben.
 %TODO aufwendigere fehlermeldung? zb "ERROR: OVERFLOW"
 
 \paragraph{Fehlerhafte Eingaben}
-Entspricht eine zu berechnende Eingabezeile nicht dem Format der Regular Expression aus Requirement
-Req.2, so wird der Fehlerstring ``ERROR'' in der Ergebniszeile ausgegeben.
+Entspricht eine zu berechnende Eingabezeile nicht dem Format der Grammatik aus
+dem Requirement Req. 2, so wird der Fehlerstring ``ERROR'' in der Ergebniszeile
+ausgegeben.
 %TODO aufwendigere fehlermeldung? zb "ERROR: FEHLERHAFTE EINGABE"
 
 Liegt der Wert eines Zahlen-Literals außerhalb dem Wertebereich eines Signed Long, dann wird der
@@ -300,7 +299,7 @@ Die erste Berechnung nach dem Reset f\"angt links oben an. Die Eingabezeile wand
 von Berechnungen ``runter'', d.h. \"uber der aktuellen Eingabezeile befinden sich die Ein- bzw.
 Ausgaben der vergangenen Berechnungen. 
 Wird das Ende des Bildschirms erreicht, so wird automatisch weitergescrollt (entsprechend
-verschwinden dann vergangene Berechnungen inkl. Ergebnisse vom Bildschirm).
+verschwinden dann vergangene Berechnungen inklusive Ergebnisse vom Bildschirm).
 %TODO vielleicht in entsprechend ähnlichem requirement etwas abstrakter werden wie in der angabe
 
 Die Eingabezeile wird ebenso dargestellt wie die Eingabe erfolgt ist (Leerzeichen werden dargestellt), von der ersten Textspalte bis zur 70.
@@ -311,7 +310,8 @@ Alle Textausgaben sind in wei
 \paragraph{Fehlermeldungen}
 Fehlermeldungen werden als Strings wie ein korrektes Ergebnis in die entsprechende Ausgabezeile geschrieben.
 
-Fehlerhafte Eingaben und Fehlermeldungen werden ebenso am Bildschirm dargestellt wie korrekte. Bei Abfrage der History über RS232 werden daher auch fehlerhafte Ein- und Ausgaben dazugezählt.
+Fehlerhafte Eingaben und Fehlermeldungen werden ebenso am Bildschirm dargestellt wie korrekte. Bei
+Abfrage der History \"uber RS232 werden daher auch fehlerhafte Ein- und Ausgaben dazugez\"ahlt.
 
 \paragraph{Taster}
 Bei Drücken des Buttons \emph{A} wird eine einmalige Übertragung des History-Inhalts über
@@ -330,17 +330,43 @@ Der History Inhalt wird im ASCII Format 
 mit der 1. Eingabe begonnen, dann die 1. Ausgabe, die 2. Eingabe usw. Die aktuelle Eingabezeile
 wird NICHT mit übertragen. Die Ein- und Ausgabezeilen werden nur bis zum letzten erlaubten
 Eingabe- bzw. Ausgabezeichen gesendet. Nach jeder Zeile folgt ein \emph{` \textbackslash n'} Zeichen.
-%TODO das format hat irgendwie anders geheißen bild ich mir ein
-%oder doch immer die volle zeilenlänge von 70 zeichen senden weil einfacher?
 
+\subsection{Testf\"alle}
+\tcc{$9*55*-6+3000/2-1-1-1*50\text{\textasciicircum B}00 = -1972 $}
+Erf\"ullt \textbf{Req 1, 2, 3, 6}
+
+\tcc{$9---2 \Rightarrow$ Err}
+Erf\"ullt \textbf{Req 2}
+
+\tcc{$-2147483648 + 1 = -2147483647$}
+Erf\"ullt \textbf{Req 4}
+
+\tcc{$-2147483649 + 1 \Rightarrow$ Err}
+Erf\"ullt \textbf{Req 4}
+
+\tcc{$2147483647 - 1 = 2147483646$}
+Erf\"ullt \textbf{Req 4}
+
+\tcc{$2147483644 + 100 \Rightarrow$ Err}
+Erf\"ullt \textbf{Req 4}
 
-\subsection{Testfälle}
+\tcc{$-2147483643 - 100 \Rightarrow$ err}
+Erf\"ullt \textbf{Req 4}
 
-alle requirements muessen von testfaellen abgedeckt werden!
+\tcc{$1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 2 +2 + 2 + 1
+\Rightarrow$ ab dem ersten `2'er soll die Eingabe verweigert werden (man beachte die Leerzeichen)}
+Erf\"ullt \textbf{Req 5}
 
-%29 zeilen am bildschirm
+\tcc{Es sollen 20 Rechnungen eingegeben werden (ob g\"ultig oder nicht spielt dabei keine Rolle).
+Ab der 15. Berechnung soll ein Scrollen des Bildschirms festgestellt werden k\"onnen.}
+Erf\"ullt \textbf{Req 7, 8}
 
+\tcc{Es sollen 52 Berechnungen der Art $i + i\text{<ENTER>}$ eingegeben werden, wobei $i$ der
+aktuellen Berechnung entspricht. Danach soll eine RS232 \"Ubertragung (einmal per Button und einmal
+per PC) in Gang gesetzt werden. Am PC sollen nur die letzten 50 Berechnungen ersichtlich sein.}
+Erf\"ullt \textbf{Req 9, 10}
 
+\newpage
 \section{Detailed Design Description}
 \subsection{VGA}
 siehe \textit{hwmod\_ipcores.pdf}
@@ -372,8 +398,9 @@ die vollen 71 Zeichen in der entsprechenden Zeile enthalten sind\footnote{ein St
 Es soll eine Baudrate von 115200 bauds und die 8N1 Codierung (8bit Daten, keine Parity und ein
 Stopbit) verwendet werden. Da das FPGA Design um ein Vielfaches schneller als 115200Hz \footnote{
 n\"amlich $33.33$MHz} ist muss bei der Implementierung zus\"atzlich ein Taktgeber f\"ur das RS232
-Modul implementiert werden um BT zu erzeugen (BT steht dabei f\"ur BitTime), das soll mit einem
-Takteiler realisiert werden. Ausserdem ist zu beachten dass das LSB zuerst geschickt wird.
+Modul implementiert werden um BT zu erzeugen\footnote{BT steht dabei f\"ur
+BitTime}. Das soll mit einem Taktteiler realisiert werden. Ausserdem ist zu
+beachten, dass das LSB zuerst geschickt wird.
 
 \begin{figure}[!ht]
 \includegraphics[width=0.9\textwidth]{sm/rs232-rs.pdf}
@@ -382,12 +409,12 @@ Takteiler realisiert werden. Ausserdem ist zu beachten dass das LSB zuerst gesch
 \label{fig:rs232rx}
 \end{figure}
 \begin{itemize}
-\item \textbf{idle}: die lokale Variable \emph{bc} wird zur\"uckgesetzt.
+\item \textbf{idle}: Die lokale Variable \emph{bc} wird zur\"uckgesetzt.
 \item \textbf{read startbit}: Eine fallende Flanke auf \emph{rxd} signalisiert dass eine
 Byte\"ubertragung beginnt.
 \item \textbf{read}: In diesem State werden die Datenbits nacheinander \"uber \emph{rxd} empfangen.
 \item \textbf{read stopbit}: Um das Ende einer Byte\"ubertragung zu signalisieren wird \emph{rxd}
-auf high gesetzt. Dadurch weiss das RS232 Modul bescheid dass die Byte\"ubertragung zu ende ist und
+auf high gesetzt. Dadurch weiss das RS232 Modul Bescheid, dass die Byte\"ubertragung zu Ende ist und
 kann dem PC-Kommunikation Modul signalisieren, dass ein Byte vollst\"andig empfangen wurde.
 \end{itemize}
 
@@ -399,7 +426,7 @@ kann dem PC-Kommunikation Modul signalisieren, dass ein Byte vollst\"andig empfa
 \label{fig:rs232tx}
 \end{figure}
 \begin{itemize}
-\item \textbf{idle}: die lokale Variable \emph{bc} wird zur\"uckgesetzt.
+\item \textbf{idle}: Die lokale Variable \emph{bc} wird zur\"uckgesetzt.
 \item \textbf{write startbit}: Um eine \"Ubertragung in Gang zu setzen muss \emph{txd} auf low
 gesetzt werden.
 \item \textbf{write}: In diesem State werden die Datenbits nacheinander an \emph{txd} angelegt.
@@ -407,6 +434,9 @@ gesetzt werden.
 auf high gesetzt werden.
 \end{itemize}
 
+\subsection{PS/2}
+siehe \textit{hwmod\_ipcores.pdf}
+
 
 \subsection{PC-Kommunikation}
 \begin{figure}[!ht]
@@ -417,7 +447,7 @@ auf high gesetzt werden.
 \end{figure}
 
 \begin{itemize}
-\item \textbf{idle}: die internen Variablen \emph{z} und \emph{s} werden zur\"uck gesetzt. \emph{z}
+\item \textbf{idle}: Die internen Variablen \emph{z} und \emph{s} werden zur\"uck gesetzt. \emph{z}
 wird als Zeilencounter verwendet und \emph{s} als Spaltencounter, da der interne Speicher nach und
 nach vollst\"andig auf der seriellen Schnittstelle ausgegeben werden soll.
 \item \textbf{nzeile}: Dieser Zustand wird erreicht wenn die Ausgabe auf die RS232 Schnittstelle
@@ -428,9 +458,6 @@ Spaltencounter erh\"oht.
 \end{itemize}
 
 
-\subsection{PS/2}
-siehe \textit{hwmod\_ipcores.pdf}
-
 \subsection{Scanner}
 \begin{figure}[!ht]
 \includegraphics[width=0.9\textwidth]{sm/scanner.pdf}
@@ -440,19 +467,21 @@ siehe \textit{hwmod\_ipcores.pdf}
 \end{figure}
 
 \begin{itemize}
-\item \textbf{idle}: Setz alle Steuersignale \emph{backspace}, \emph{take} und \emph{do\_it} low.
+\item \textbf{idle}: Setzt alle Steuersignale \emph{s\_backspace}, \emph{s\_take} und \emph{do\_it} low.
 \item \textbf{read}: Bei steigender Flanke auf \emph{new\_data} wird das anliegende Byte des
 PS/2-Modules \"ubernommen. Je nach Wert wird in den n\"achsten Zustand gewechselt.
-\item \textbf{enter}: Wurde die Entertaste gedr\"uckt wird der Parser getriggert (\emph{do\_it} ist
-einen Takt lang high). Der Scanner befindet sich so lange in diesem Zustand bis der Parser das
-Ergebnis berechnet hat.
-\item \textbf{l\"oschen}: Teilt dem History Modul mit das letzte Zeichen im Buffer zu l\"oschen
-(\emph{backspace} ist einen Takt lang high).
-\item \textbf{mod}: Da wir nur Zeichen des Numpads \"ubernehmen wollen, ist dieser Zwischenstate
-n\"otig, da Scancodes vom Numpad einen Modifier mitschicken (\emph{0xe0}).
-\item \textbf{\"ubernehmen}: Wenn ein g\"ultiges Zeichen laut Requirements eingegeben wurde, wird
-jenes Zeichen an \emph{char} angelegt und \emph{take} wird einen Takt lang high gesetzt. Das History
-Modul wird dadurch getriggert das anliegende Zeichen in den Buffer zu \"ubernehmen.
+\item \textbf{enter}: Wurde die Entertaste gedr\"uckt wird der Parser getriggert
+(per Signal \emph{do\_it}). Der Scanner befindet sich so lange in diesem Zustand
+bis der Parser das Ergebnis berechnet hat.
+\item \textbf{l\"oschen}: Teilt dem History Modul mit das letzte Zeichen im
+Buffer zu l\"oschen (per Signal \emph{s\_backspace}).
+\item \textbf{mod}: Da wir nur Zeichen des Numpads \"ubernehmen wollen, ist
+dieser Zwischenzustand n\"otig, da Scancodes vom Numpad einen Modifier
+mitschicken (\emph{0xe0}).
+\item \textbf{\"ubernehmen}: Wenn ein g\"ultiges Zeichen laut Requirements
+eingegeben wurde, wird jenes Zeichen an \emph{s\_char} angelegt und \emph{s\_take}
+wird auf high gesetzt. Das History Modul wird dadurch getriggert um das Zeichen
+in den Buffer zu \"ubernehmen.
 \end{itemize}
 
 
@@ -463,6 +492,32 @@ Modul wird dadurch getriggert das anliegende Zeichen in den Buffer zu \"ubernehm
 \caption{Statemachine zum Parsen der aktuellen Expression}
 \label{fig:parser}
 \end{figure}
+\begin{itemize}
+\item \textbf{idle}: Das Modul ist unt\"atig und wartet auf eine steigende Flanke von \emph{do\_it}.
+
+\item \textbf{read char}: Lokale Variablen werden zur\"uckgesetzt und das n\"achste Zeichen wird vom
+History Modul angefordert.
+
+\item \textbf{sign}: Ggf. wird das Vorzeichen auf '-' gesetzt.
+
+\item \textbf{int}: Zeichen f\"ur Zeichen wird eingelesen und die Zahl wird daraus berechnet.
+
+\item \textbf{calc}: Punkt- und Strichrechnungen m\"ussen getrennt behandelt werden, daher ergibt
+sich dieses Konstrukt im Codebeispiel.
+
+\item \textbf{null}: Sonderbehandlung ist n\"otig wenn die Expression mit '\textbackslash 0'
+abgeschlossen wird.
+
+\item \textbf{done}: In diesem Zustand wird das Ergebnis das sich je nach \emph{opp} in
+\emph{strich} oder \emph{punkt}  befindet als String in den Ergebnisbuffer des History Modules
+geschrieben. Danach wird \emph{p\_finished} bzw. \emph{finished} auf high gesetzt.
+
+\item \textbf{error}: Zwecks \"Ubersichtlichkeit wurden die Transitionen zu diesem Zustand
+vernachl\"assigt. Dieser Zustand wird erreicht sobald ein Grammatikfehler oder ein Fehler der ALU
+auftritt. Es wird der String ``Error'' in den Ergebnisbuffer geschrieben und
+\emph{p\_finished} bzw. \emph{finished} wird auf high gesetzt.
+\end{itemize}
+
 
 \begin{lstlisting}
 procedure exec() {
@@ -507,32 +562,6 @@ procedure c1() {
 }
 \end{lstlisting}
 
-\begin{itemize}
-\item \textbf{idle}: Das Modul ist unt\"atig und wartet auf eine steigende Flanke von \emph{do\_it}.
-
-\item \textbf{read char}: Lokale Variablen werden zur\"uckgesetzt und das n\"achste Zeichen wird vom
-History Modul angefordert.
-
-\item \textbf{sign}: Ggf. wird das Vorzeichen auf '-' gesetzt.
-
-\item \textbf{int}: Zeichen f\"ur Zeichen wird eingelesen und die Zahl wird daraus berechnet.
-
-\item \textbf{calc}: Punkt- und Strichrechnungen m\"ussen getrennt behandelt werden, daher ergibt
-sich dieses Konstrukt im Codebeispiel.
-
-\item \textbf{null}: Sonderbehandlung ist n\"otig wenn die Expression mit '\textbackslash 0'
-abgeschlossen wird.
-
-\item \textbf{done}: In diesem Zustand wird das Ergebnis das sich je nach \emph{opp} in
-\emph{strich} oder \emph{punkt}  befindet als String in den Ergebnisbuffer des History Modules
-geschrieben und danach wird \emph{finished} f\"ur einen Zyklus auf high gesetzt.
-
-\item \textbf{error}: Zwecks \"Ubersichtlichkeit wurden die Transitionen zu diesem Zustand
-vernachl\"assigt. Dieser Zustand wird erreicht sobald ein Grammatikfehler oder ein Fehler der ALU
-auftritt. Es wird der String ``Error'' in den Ergebnisbuffer geschrieben und
-\emph{finished} wird f\"ur einen Zyklus auf high gesetzt.
-\end{itemize}
-
 
 \subsection{ALU}
 \begin{figure}[!ht]
@@ -542,7 +571,7 @@ auftritt. Es wird der String ``Error'' in den Ergebnisbuffer geschrieben und
 \label{fig:alu}
 \end{figure}
 %TODO: eventuell zweierkomplement statt SUB?
-Folgende Opcodes werden von uns als enum definiert:
+Folgende Opcodes werden von uns als \emph{enum} definiert:
 \begin{quote}
 NOP, SUB, ADD, MUL, DIV, DONE
 \end{quote}
@@ -550,17 +579,18 @@ wobei NOP und DONE von der ALU nicht bearbeitet werden sollen.
 
 In jedem State wird die entsprechende Berechnungsart durchgef\"uhrt und danach der Abschluss der
 Berechnung mit \emph{calc\_done} signalisiert. Wichtig zu beachten ist dabei, dass sich die
-Rechenoperationen in der Ausf\"uhrungszeit unterscheiden k\"onnen.
-
+Rechenoperationen in der Ausf\"uhrungszeit unterscheiden k\"onnen. Ausserdem
+k\"onnen in jedem Berechnungszustand Fehler (Over- bzw. Underflow und Division
+durch Null) auftreten die mit dem Signal \emph{error} angezeigt werden.
 
 \subsection{History}
 Dieses Modul stellt die zentrale Speicherstelle f\"ur die verschiedenen Module da.
 Die Idee ist dabei, dass intern ein RAM-Block mit mindestens der Gr\"o\ss{}e
-$(50\cdot2)*(71) = 710$ Bytes verwaltet wird.
+\mbox{$(50\cdot2) \cdot (71) = 710$} Bytes verwaltet wird.
 
 Das History Modul kann \"uber die angelegten Leitungen \emph{s\_take}, \emph{p\_rget},
 \emph{p\_wdo}, \emph{pc\_get} bzw. \emph{d\_get} feststellen welches Modul\footnote{die
-betreffendenen Module sind: Scanner, Parser, PC-Kommunikation und Display} eine Speicheranfrage
+betreffenden Module sind: Scanner, Parser, PC-Kommunikation und Display} eine Speicheranfrage
 ausf\"uhrt.
 Der Zugriff der externen Module erfolgt priorisiert:
 \begin{quote}
@@ -568,24 +598,13 @@ Scanner > Parser > PC-Kommunikation > Display
 \end{quote}
 
 Weiters ist zu beachten, dass das History Modul f\"ur den Scanner und Parser intern einen Index
-mitspeichern muss, der die Adresse der aktuellen Eingabe mitf\"uhrt. Mit Hilfe dieses Indexes plus
-der Spaltenadressierung der Module kann die tats\"achliche Adresse f\"ur des internen RAM-Blockes
+mit speichern muss, der die Adresse der aktuellen Eingabe mitf\"uhrt. Mit Hilfe dieses Indexes plus
+der Spaltenadressierung der Module kann die tats\"achliche Adresse f\"ur den internen RAM-Block
 ermittelt werden.
 
 Ist das History Modul mit der Speicheranfrage fertig, wird das andere Modul \"uber die entsprechende
-\emph{done}-Leitung benachrichtigt.
-
-
-%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
-%LISTINGS
-%\newpage
-%\appendix
-%\section{Listings}
-%howto include src files
-%\subsection{einfache Variante -- generierter Sourcecode}
-%\label{att:einfachsrc}
-%\lstinputlisting{../einfach/einfach.src}
-%\lstinputlisting[firstnumber=24, firstline=24, lastline=34]{bla.src} %firstnumber shouldn't be necessary, but there is probably a bug
-
+\emph{done}-Leitung benachrichtigt. Wurde das Signal vom entsprechenden
+\emph{*\_\{get,take,do\}}-Signal quittiert kann der n\"achste Request
+verarbeitet werden.
 \end{document}