makefile: more fun with quartus
[hwmod.git] / .gitignore
index dba34995417839970d3fe2c896ce92527f591d9a..9dc857a91105fd08bfcfb6c3e5b1e88f770a0087 100644 (file)
@@ -1,8 +1,24 @@
+spec/*.blg
+spec/*.bbl
 spec/*.aux
 spec/*.log
 spec/*.toc
 spec/*.backup
 spec/*.out
 spec/*.pdf
+spec/*.png
+spec/sm/*.pdf
 *.swp
 *~
+
+*.ppk
+
+#sim
+sim/
+
+#modelsim
+src/transcript
+
+#quartus
+quartus/calc/
+src/quartus*.tmp