makefile: more fun with quartus
[hwmod.git] / .gitignore
index 62981eae58a174100e517c2ff946a6eedf2bf26e..9dc857a91105fd08bfcfb6c3e5b1e88f770a0087 100644 (file)
@@ -6,7 +6,19 @@ spec/*.toc
 spec/*.backup
 spec/*.out
 spec/*.pdf
+spec/*.png
+spec/sm/*.pdf
 *.swp
 *~
 
 *.ppk
+
+#sim
+sim/
+
+#modelsim
+src/transcript
+
+#quartus
+quartus/calc/
+src/quartus*.tmp